OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (42)

正点原子FPGA 今日: 0|主题: 3973|排名: 36 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
预览 [ALTERA]stm32控制+FPGA数据采集方案可行性 牧羊少年ing 2019-11-7 15072 wanggong 2019-11-8 17:47
已解决 预览 [ALTERA]can IP核使用过程中接收缓存不更新 kkkkklsq 2019-10-24 26366 kkkkklsq 2019-11-8 16:38
预览 如何学STM32—十年经验教你如何学习嵌入式系统 attach_img QQ2848218839 2017-8-21 14877 lifei2021 2019-11-8 14:09
预览 [ALTERA]IIC通信协议实现EEPROM 24LC04的读写 attachment 贤荟贤惠 2019-11-7 35224 贤荟贤惠 2019-11-7 17:54
悬赏 预览 [ALTERA]STM32F407+UCOSIII+系统任务调度问题 新人帖 CG574825380 2019-10-19 16720 宇智波白 2019-11-6 13:53
已解决 预览 wifi模块搭建一个http的客户端 Rampage甄姬 2019-1-3 67321 韩佳豪 2019-11-5 19:34
悬赏 预览 [ALTERA]Cyclone iii FPGA输入输出电流范围 新人帖 niubiaobiao7 2019-10-31 17260 正点原子 2019-11-1 02:11
悬赏 预览 [ALTERA]关于FPGA实现UDP的问题,求助 新人帖 青杨 2019-10-31 07222 青杨 2019-10-31 09:16
悬赏 预览 [其他]打开工程时提示“ can't find design entity ”求助 wyc000 2019-10-30 16400 正点原子 2019-10-31 02:45
预览 [XILINX]ZYNQ7000系列光口怎么使用啊 新人帖 kung123 2019-10-30 04549 kung123 2019-10-30 15:06
已解决 预览 [ALTERA]求问一下关于quartus2里原理图和.v文件输入的问题 wsdkyzd 2019-10-29 26473 MY40130064 2019-10-30 14:39
悬赏 预览 [XILINX]请教ZYNQ7000 CAN接收过滤器的使用方法 新人帖 attach_img Quency 2019-10-30 16148 Quency 2019-10-30 13:38
已解决 预览 [ALTERA]原子哥新起点FPGA 的UART例程跑115200波特率正常,4800波特率时乱码极多,这是哪里出问题了呢? attach_img 天山狐狸 2019-9-20 37600 天山狐狸 2019-10-28 20:36
预览 [其他]FPGA与电缆线的通信接口? 新人帖 海月清辉 2019-9-21 35478 PeterYu 2019-10-26 11:03
悬赏 预览 [ALTERA]新起点NiosII 串口通信数据出错 shengzhou02 2019-9-2 77638 PeterYu 2019-10-26 09:14
预览 [ALTERA]VGA的例程问题,为啥行同步多减了一,行坐标从零开始,场坐标从-1开始 attach_img - [回帖奖励 2 ] yangran 2019-10-25 06434 yangran 2019-10-25 22:27
悬赏 预览 DSP+CPLD+带FIFO0V7670,显示这种情况是什么原因? attach_img 碳酸钙 2015-4-15 138453 PeterYu 2019-10-25 08:47
悬赏 预览 CPLD+Sram控制液晶屏 attach_img 47933673 2015-12-14 1311359 PeterYu 2019-10-25 08:24
已解决 预览 cpld 做两路串口的切换 attach_img 那边天 2016-8-13 65733 PeterYu 2019-10-25 08:03
预览 STM32与CPLD通信 taojiang 2016-11-17 55939 PeterYu 2019-10-25 08:01
悬赏 预览 [ALTERA]例程ov7725的VGA显示图像转了90度,而且很模糊 新人帖 Hiryrt 2019-10-23 16663 翼行园子 2019-10-23 20:01
已解决 预览 [ALTERA]有没有人做过fpga在线升级 新人帖 死亡侍从 2019-10-19 57358 jshzp 2019-10-23 09:39
悬赏 预览 [ALTERA]PMSM的三闭环Verilog程序开发 wo750206190 2019-10-22 07570 wo750206190 2019-10-22 15:01
悬赏 预览 [ALTERA]主芯片FPGA的控制驱动板研发,收集编码器信息,结合控制信息实现三闭环控制 新人帖 wo750206190 2019-9-25 27185 qingyang235 2019-10-21 19:49
预览 FPGA开发全攻略(全,分为上下2部) attachment 熊猫会武术 2019-3-25 56204 PeterYu 2019-10-21 17:05
预览 [ALTERA]FPGA,SD卡例程,SPI写入程序里面,head0x58这SignalTap出来sd_miso为什么位是反的 attach_img 沧海寻雾 2019-10-20 15081 peng1554 2019-10-20 21:53
悬赏 预览 用Cyclone IV EP4CE6系列做串口通信仿真结果,计数有问题 新人帖 attach_img 武526爱5 2019-7-26 96242 没事happy 2019-10-20 19:03
悬赏 预览 [ALTERA]怎样让顶层模块调用子模块里面的数据 jshzp 2019-10-19 27738 jshzp 2019-10-20 15:12
预览 FPGA入行三年后的自我提问 新人帖 SunML 2018-8-2 209338 xiaoyanshine 2019-10-20 01:24
预览 [ALTERA]Notepad++里面写的程序,使用quartusii13.0打开,中文注释变成乱码,并且再使用Notepad++打开也变成了乱码,怎么解决 stuuudent 2019-10-18 15197 jshzp 2019-10-19 16:38
已解决 预览 [ALTERA]编译后RTL Viewer图中出现了孤立的管脚 attach_img jshzp 2019-10-17 17130 QinQZ 2019-10-18 13:59
已解决 预览 [ALTERA]请问在DS18B20写入读取数据的状态机中,为什么设置传感器引脚输出的初始值为dqout <= 1'bz;?1'bz是什么意思,谢谢 新人帖 stuuudent 2019-10-18 16851 QinQZ 2019-10-18 13:57
已解决 预览 如何不使用操作系统在nios中裸机移植TCP/IP协议 kkkkklsq 2019-5-20 35126 kkkkklsq 2019-10-18 08:47
已解决 预览 [ALTERA]always代码综合电路,求解答 attach_img Soitgoes 2019-10-14 27222 QinQZ 2019-10-17 19:22
预览 [XILINX]原子哥啥时出ZYNQ开发板啊? 电子阿炳 2019-10-17 15638 peng1554 2019-10-17 17:45
已解决 预览 [ALTERA]RTL Viewer中出现了孤立的管脚 attach_img jshzp 2019-10-17 36749 jshzp 2019-10-17 16:15
已解决 预览 [其他]新手对CPLD和FPGA的选用求助 菜鸟学STM32 2019-8-15 25964 PeterYu 2019-10-17 11:42
已解决 预览 [ALTERA]Cyclone IV E 最高频率能达到多少? 新人帖 q1478963 2019-9-11 57824 PeterYu 2019-10-17 08:03
已解决 预览 [ALTERA]按位与运算,编译报错,不知道怎么改 jshzp 2019-10-7 26532 小灰灰Veni 2019-10-16 15:55
预览 [其他]新起点的按键程序为什么不用消抖,是因为按键跟其他按键不一样么? attach_img 潘长青 2019-10-7 14986 潘长青 2019-10-14 17:10
悬赏 预览 [ALTERA]quarter打开ecplise出现莫名其妙的问题 attach_img WolfKing 2019-10-3 26557 WolfKing 2019-10-4 16:56
已解决 预览 [其他]FPGA的引脚电压怎么配置? attach_img 潘长青 2019-10-4 16004 潘长青 2019-10-4 14:20
已解决 预览 [ALTERA]FPGA的输入脚可以设置成斯密特触发器方式吗? jshzp 2019-9-7 56681 jshzp 2019-10-2 20:40
已解决 预览 [ALTERA]Quartus ii 13.1里面的器件不能选择cyclone V系列芯片 attach_img wo750206190 2019-9-26 58321 wo750206190 2019-9-29 10:58
已解决 预览 [ALTERA]关于开拓者教程里以太网传输的疑问 新人帖 attach_img JamesWu 2019-9-27 36336 QinQZ 2019-9-29 09:58
已解决 预览 求verilog编写的串口收发工程文件,RS485通信的。 新人帖 qq522704760 2019-3-31 35165 hang12 2019-9-28 22:53
预览 [其他]《EDA实用技术应用》 -->笔记记录 朽木矣,自雕也 2019-9-18 24929 朽木矣,自雕也 2019-9-28 19:23
悬赏 预览 [ALTERA]FPGA 定制 segled IP 时出现Info: Error: No modules found when analyzing null. attach_img wo750206190 2019-9-25 47256 SunML 2019-9-27 17:19
预览 [ALTERA]交通信号灯扩展模块哪里可以购买? huyuezhan 2019-9-25 05301 huyuezhan 2019-9-25 10:22
悬赏 预览 [ALTERA]Altera 使用nios逻辑移植lwip ping不通 Rampage甄姬 2019-9-6 56829 kkkkklsq 2019-9-24 16:17
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 05:34

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块