OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (42)

正点原子FPGA 今日: 0|主题: 3973|排名: 36 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
已解决 预览 求助这个警告是什么意思?怎么改!谢谢大佬! 大圣归来 2017-10-21 46876 QinQZ 2019-12-15 09:42
悬赏 预览 [其他]想要学习有关FPGA的VHDL语言方面的 新人帖 ymjzl 2019-12-13 16328 正点原子 2019-12-15 00:06
悬赏 预览 [ALTERA]有没有CRC16/MODBUS verilog的代码? 新人帖 zhukaiming918 2019-12-14 07565 zhukaiming918 2019-12-14 23:31
悬赏 预览 [其他]【求助】关于使用USBDM烧写中遇到的问题 attach_img 早起的达仙僧 2019-12-5 36657 早起的达仙僧 2019-12-13 14:44
预览 [ALTERA]寻找FPGA (ALTER)的开发朋友 新人帖 attach_img LEAWESS0918 2019-12-12 25797 WZTENG 2019-12-12 17:08
已解决 预览 [XILINX]XinLinx SDK 的中断怎么进去? 新人帖 InterestVqs 2019-12-12 26332 InterestVqs 2019-12-12 14:28
已解决 预览 [ALTERA]多个子模块,如何让其中一个子模块包含一部分子模块 attach_img 大贵 2019-12-11 16706 QinQZ 2019-12-12 09:21
已解决 预览 [ALTERA]verilog程序执行,仿真问题 新人帖 attach_img 归隐 2019-12-9 46944 归隐 2019-12-12 09:13
已解决 预览 [ALTERA]程序烧录成功到FPGA后,板子却没有反应 新人帖 attachment 大贵 2019-11-23 36731 大贵 2019-12-11 21:01
已解决 预览 [其他]verilog语法问题, 功能function 新人帖 attach_img 镜中花 2019-12-11 25669 QinQZ 2019-12-11 13:52
已解决 预览 [XILINX]Vivado提示错误,无用户自定义时钟 attach_img nuaaceieyty 2019-12-9 14929 QinQZ 2019-12-10 14:44
悬赏 预览 [ALTERA]关于MII接口的PHY DM9162通过FPGA实现以太网功能 新人帖 linhutui 2019-12-9 26821 QinQZ 2019-12-10 10:04
预览 [ALTERA]ep4ce开发板上SD卡的开发,硬件的配置问题 新人帖 yangjiaoshai 2019-12-9 25234 宇智波白 2019-12-9 18:42
已解决 预览 [ALTERA]Verilog语法求教,非阻塞赋值 attach_img Soitgoes 2019-11-6 57910 镜中花 2019-12-9 11:37
已解决 预览 [XILINX]xilinx FIFO仿真时empty不是立即失效 attach_img 一个菜鸟 2019-12-6 26357 QinQZ 2019-12-8 09:32
悬赏 预览 [ALTERA]如何测试多个波形的相位差? sunzhifan 2019-12-4 117363 sunzhifan 2019-12-7 16:32
悬赏 预览 [ALTERA]怎么在fpga里面读不到反回值? 新人帖 可喜可楽丶 2019-12-6 67194 宇智波白 2019-12-6 17:23
悬赏 预览 [其他]闲置开拓者 低价出售 急卖 新人帖 严先念 2019-9-29 35935 zhenchen 2019-12-6 15:31
已解决 预览 [ALTERA]fifo使用中empty以及full信号异常 新人帖 attach_img Justgeek 2019-11-25 87618 QinQZ 2019-12-6 09:28
预览 [ALTERA]NiosII Boot On Chip RAM 使用片内RAM及配置ROM引导系统 新人帖 王新海 2019-12-4 25153 宇智波白 2019-12-5 10:50
悬赏 预览 [ALTERA]使用MAX 10片子,IP核内无对数运算 a3413209 2019-12-5 17084 宇智波白 2019-12-5 10:49
已解决 预览 [XILINX]领航者ZYNQ的Linux开发指南什么时候更新完啊 nuaaceieyty 2019-12-2 36726 鑫梦 2019-12-3 09:38
预览 [ALTERA]Pll锁相环仿真出不来波形图,输出高阻态 新人帖 上流社会 2019-11-30 25031 宇智波白 2019-12-2 18:30
悬赏 预览 [ALTERA]按照原子哥的教程弄仿真,最后在modelsim中出现这个,有没有大佬知道解决方法呀 新人帖 喵喵猫 2019-11-26 16443 QinQZ 2019-11-27 19:04
预览 [ALTERA]FPGA 摄像头采集图像LCD显示出现问题 attach_img 飞天奇侠 2019-9-9 14945 linnw1995 2019-11-25 16:01
悬赏 预览 [其他]STM32F407 用rtthread 自带的dfs文件管理 打开文件系统崩溃 新人帖 duyoudu 2019-11-23 15710 正点原子 2019-11-24 02:45
已解决 预览 ov5640的参数怎么来的??? 新人帖 evjnd 2019-5-19 66070 宇智波白 2019-11-23 12:53
悬赏 预览 [ALTERA]ov5640显示设置显示320*240大小的视频 新人帖 Impowermax 2019-11-5 26635 宇智波白 2019-11-23 12:53
悬赏 预览 [ALTERA]新手求助关于PAL制显示图像的问题 1124717189 2019-11-13 27149 宇智波白 2019-11-23 12:53
悬赏 预览 CCS6.0编译出错 attach_img 杨桐 2018-6-14 55718 宇智波白 2019-11-23 12:52
悬赏 预览 [ALTERA]FMC总线读写时序是怎样的? attach_img jshzp 2019-11-18 17312 宇智波白 2019-11-22 16:55
预览 [ALTERA]if条件中数值表示的区别,带不带进位制数符 attach_img Soitgoes 2019-11-7 24986 宇智波白 2019-11-22 16:51
已解决 预览 [ALTERA]综合后,RTL Viewer和代码不一致 attach_img jshzp 2019-11-8 36965 宇智波白 2019-11-22 16:51
悬赏 预览 [ALTERA]FPGA配置的USB接口能够实现与4G模块的无线数据传输吗 不要的逝去 2019-11-21 16681 宇智波白 2019-11-22 16:51
悬赏 预览 [其他][FPGA]Can't resolve multiple constant drivers for net! 新人帖 q1009523480 2019-11-19 45767 宇智波白 2019-11-22 16:50
已解决 预览 [ALTERA]vhdl 元件例化 实现四输入加法器 attach_img callmegod 2019-11-20 46487 callmegod 2019-11-21 21:09
已解决 预览 [ALTERA]大佬帮我看看这个芯片内部能实现多大的RAM存储 新人帖 attach_img 叶枫 2019-11-14 67778 叶枫 2019-11-21 08:26
预览 [ALTERA]【正点原子FPGA连载】第三十九章 SD卡读写测试实验--摘自《正点原子开拓者FPGA开发指南》 attach_img 正点原子运营 2019-5-22 87169 秋易凉 2019-11-20 09:46
已解决 预览 [ALTERA]怎么计算一维数组的下标? jshzp 2019-11-5 66973 Elliott 2019-11-20 08:44
预览 [ALTERA]能用FPGA实现USB数据传输吗 新人帖 不要的逝去 2019-11-14 35290 Elliott 2019-11-19 09:21
悬赏 预览 [ALTERA]FPGA开拓者开发板 扩展IO口如何使用 新人帖 XIN柠 2019-11-18 27139 QinQZ 2019-11-19 09:11
已解决 预览 [ALTERA]quart ii 存储资源使用率的问题 attach_img jshzp 2019-11-17 26422 jshzp 2019-11-18 13:47
已解决 预览 关于CCS6.0仿真器驱动安装的问题 杨桐 2018-6-13 37631 CHENSCUT314159 2019-11-16 22:28
预览 [ALTERA]10CL040YF484C8G不支持Quartus II 13.1 (64-bit) wanggong 2019-11-11 25110 wanggong 2019-11-15 14:10
已解决 预览 大家能否分享一个quartus prime 16.0软件,百度了很难找得到 cmz 2018-3-16 57573 里奥 2019-11-14 19:00
预览 [ALTERA]问一下各位大神是否有能发份FPGA关于USART的程序 boufbou 2019-11-14 06131 boufbou 2019-11-14 15:13
预览 [ALTERA]modelsim仿真出错,轻微你是我软件的版本安装错了吗 新人帖 attach_img alter12138 2019-11-13 25251 alter12138 2019-11-14 11:52
预览 [ALTERA]为什么我的quartus编译后没有Verilog_libs文件夹 attach_img alter12138 2019-11-14 06050 alter12138 2019-11-14 01:06
预览 [ALTERA]FPGA报警处理 Quartus II 64-Bit wanggong 2019-11-5 195895 wanggong 2019-11-13 18:42
已解决 预览 [ALTERA]新起点FPGA高速AD/DA模块只能采集一路的模拟量输入吗 牧羊少年ing 2019-11-5 36477 wanggong 2019-11-8 17:48
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 07:28

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块