OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5822|回复: 15

[ALTERA] 用开拓者FPGA开发板实现简单DDS,按键控制频率和相位出现问题

[复制链接]

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
发表于 2020-5-26 11:17:26 | 显示全部楼层 |阅读模式
36金钱
程序参考hs_ad_da例程,创建rom核存好正弦波的mif文件。加按键模块控制相位和频率后DA输出端没有波形。
计划用key0控制相位,每按一次相位翻转180。实现原理用key_debounce模块检测key0下降沿,检测成功后将现在读rom的地址+ 8'd127,实现反相。key_debounce模块在da_wave_send模块中例化。实验结果DA输出-72.0mV直流信号。
附上完整工程,望懂得问题出在哪里的大神赐教!!!

//****************************************************************************************//

module da_wave_send(
    input                 clk         ,  //閺冨爼鎸
    input                 rst_n       ,  //婢跺秳缍呮穱鈥冲娇閿涘奔缍嗛悽闈涢挬閺堝鏅

    input                 key0_frq_up,
    input                 key1_frq_down,
    input                 key3_phase,

    input         [7:0]    rd_data     ,  //ROM date
    output   reg  [7:0]    rd_addr     ,  //ROM address
    //DA閼侯垳澧栭幒銉ュ經
    output                da_clk      ,  //DA(AD9708)妞瑰崬濮╅弮鍫曟寭,閺堚偓婢堆勬暜閹5Mhz閺冨爼鎸
    output       [7:0]    da_data        //鏉堟挸鍤紒姗燗閻ㄥ嫭鏆熼幑
);

//parameter
//妫版垹宸肩拫鍐Ν閹貉冨煑
reg    [7:0]  FREQ_ADJ = 8'd10;  //棰戠巼鎺у埗瀛

//reg define
reg    [7:0]    freq_cnt  ;  //棰戠巼璁℃暟

//*****************************************************
//**                    main code
//*****************************************************

assign  da_clk = ~clk;      
assign  da_data = rd_data;

//frq control
always @(posedge clk or negedge rst_n) begin
    if(rst_n == 1'b0)
        freq_cnt <= 8'd0;
    else if(freq_cnt == FREQ_ADJ)   
        freq_cnt <= 8'd0;
    else         
        freq_cnt <= freq_cnt + 8'd1;
end

wire key3_phase_en;
key_debouncer key3_debouncer(.clk(clk),.rst_n(rst_n),.key(key3_phase),.key_en(key3_phase_en));

//read ROM
always @(posedge clk or negedge rst_n) begin
    if(rst_n == 1'b0)
        rd_addr <= 8'd0;
    else if (freq_cnt == FREQ_ADJ) begin
            rd_addr <= rd_addr + 8'd1;
                        end
    else if(key3_phase_en) begin
            rd_addr <= rd_addr + 8'd127;
                        end
    else ;;                                        
end


// frq control
wire key0_frq_up_en;
key_debouncer key0_debouncer(.clk(clk),.rst_n(rst_n),.key(key0_frq_up),.key_en(key0_frq_up_en));

always @(posedge clk or negedge rst_n) begin
    if(rst_n == 1'b0)
        FREQ_ADJ <= 8'd10;
    else if (freq_cnt == key0_frq_up_en)
        FREQ_ADJ <=  FREQ_ADJ + 8'd1;
        else  
            FREQ_ADJ <= FREQ_ADJ;
end

endmodule

//****************************************************************************************//



//****************************************************************************************//
module key_debouncer(

    input clk,
        input rst_n,
    input key,
        output key_en
       
);
reg   key_sync_0,key_sync_1;

assign key_en=(~key_sync_0)&key_sync_1;

always @(posedge clk or negedge rst_n) begin

    if(rst_n == 1'b0) begin
            key_sync_0<=1'b0;
                key_sync_1<=1'b0;
        end
        else begin
            key_sync_0<=key;
            key_sync_1<=key_sync_0;
        end
       
end

endmodule

//****************************************************************************************//


module pa_driver(

    input sys_clk,
    input sys_rst_n,

    input key0,
    input key1,
    input key3_phase,
       
    //DA interface
    output                da_clk      ,  //DA(AD9708)clk,max 125Mhz
    output    [7:0]       da_data       //data yo DA

//    input                 ad_otr      ,  //0:閸︺劑鍣虹粙瀣瘱閸:鐡掑懎鍤柌蹇曗柤
//    output                ad_clk         //AD(AD9280)妞瑰崬濮╅弮鍫曟寭,閺堚偓婢堆勬暜閹Mhz閺冨爼鎸

);

wire      [7:0]    rd_addr;              //ROM address
wire      [7:0]    rd_data;              //ROM data
//*****************************************************
//**                    main code
//*****************************************************

//DA instantiation
da_wave_send u_da_wave_send(
    .clk         (sys_clk),
    .rst_n       (sys_rst_n),
    .key3_phase  (key3_phase),
    .rd_data     (rd_data),
    .rd_addr     (rd_addr),
    .da_clk      (da_clk),  
    .da_data     (da_data)
    );

//ROM instantiation
rom_256x8b  u_rom_256x8b(
    .address    (rd_addr),
    .clock      (sys_clk),
    .q          (rd_data)
    );

endmodule


//****************************************************************************************//

pa_driver.zip

3.16 MB, 下载次数: 21

最佳答案

查看完整内容[请看2#楼]

建议用SignalTap II在线抓信号来调试,直接看代码不好找问题
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-5-26 11:17:27 | 显示全部楼层
建议用SignalTap II在线抓信号来调试,直接看代码不好找问题
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-26 13:45:22 | 显示全部楼层
ding~~
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-26 21:24:15 | 显示全部楼层
顶~~~~
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 09:53:24 | 显示全部楼层
ding~~~
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 16:30:20 | 显示全部楼层
QinQZ 发表于 2020-5-27 16:26
建议用SignalTap II在线抓信号来调试,直接看代码不好找问题

谢谢,用signaltap II 抓信号显示unassigned,是因为寄存器被优化处理了吗
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-5-27 16:33:55 | 显示全部楼层
wxYchSWKOS 发表于 2020-5-27 16:30
谢谢,用signaltap II 抓信号显示unassigned,是因为寄存器被优化处理了吗

不是,是SignalTap的使用方法不对。添加完调试文件后,重新编译工程和下载程序。
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 16:34:39 | 显示全部楼层
QinQZ 发表于 2020-5-27 16:33
不是,是SignalTap的使用方法不对。添加完调试文件后,重新编译工程和下载程序。

好的谢谢,我再试试
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 16:37:34 | 显示全部楼层
QinQZ 发表于 2020-5-27 16:33
不是,是SignalTap的使用方法不对。添加完调试文件后,重新编译工程和下载程序。

再问您一个问题,我的FPGA开拓者开发板的四个led灯总是处于微弱的亮的状态,我在工程里没有plan对应的引脚,这是为什么呢
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-5-27 16:39:12 | 显示全部楼层
wxYchSWKOS 发表于 2020-5-27 16:37
再问您一个问题,我的FPGA开拓者开发板的四个led灯总是处于微弱的亮的状态,我在工程里没有plan对应的引 ...

这个是正常的,除非程序里对这4个引脚驱动高电平或者低电平,才会完全亮和灭,否则就是默认弱上拉的状态,也就是微亮。
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 16:41:17 | 显示全部楼层
QinQZ 发表于 2020-5-27 16:39
这个是正常的,除非程序里对这4个引脚驱动高电平或者低电平,才会完全亮和灭,否则就是默认弱上拉的状态 ...

好的,谢谢,看来我还要深入学习
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-27 16:50:29 | 显示全部楼层
QinQZ 发表于 2020-5-27 16:33
不是,是SignalTap的使用方法不对。添加完调试文件后,重新编译工程和下载程序。

你好,我调用SignalTapII 发现有这种图标为“C”的原变量名加一些后缀的变量,这是些什么变量呢?
批注 2020-05-27 164613.png
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-5-28 10:16:50 | 显示全部楼层
wxYchSWKOS 发表于 2020-5-27 16:50
你好,我调用SignalTapII 发现有这种图标为“C”的原变量名加一些后缀的变量,这是些什么变量呢?

你前面显示的类型选的不对,参考下开拓者FPGA开发指南SignalTap教程,有个可以选择大概是pre_syn的选项
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-5-28 21:30:13 | 显示全部楼层
QinQZ 发表于 2020-5-28 10:16
你前面显示的类型选的不对,参考下开拓者FPGA开发指南SignalTap教程,有个可以选择大概是pre_syn的选项

ok,谢谢
回复

使用道具 举报

0

主题

18

帖子

0

精华

新手上路

积分
47
金钱
47
注册时间
2020-6-8
在线时间
12 小时
发表于 2020-7-16 09:49:07 | 显示全部楼层
数据用什么软件产生的?
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-7-16 14:16:17 | 显示全部楼层
技艺电子 发表于 2020-7-16 09:49
数据用什么软件产生的?

WaveToMem软件,领航者的资料盘里有
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 11:25

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表