OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6215|回复: 3

[ALTERA] verilog模块使能端设计的问题

[复制链接]

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
发表于 2020-7-3 10:23:39 | 显示全部楼层 |阅读模式
6金钱
  1. module sin_creater(
  2.     input clk,
  3.     input rst_n,

  4.     input sin_creater_en,

  5.     output [7:0] sin_dat
  6. );

  7. //parameter SIN_FREQ = 'd12_000;
  8. parameter SIN_FREQ_ADJ = 'd156250000/12000/100;
  9. parameter [4:0] SIN_PHASE = 5'b0;

  10. reg [31:0] freq_cnt;
  11. reg [4:0]  rd_addr;

  12. //**********************main code****************************

  13. rom_256x8b_sinwave sin_source(
  14.     .clock(clk),
  15.     .address(rd_addr),
  16.     .q(sin_dat)
  17. );

  18. //freq counter,divide 50m clk
  19. always @(posedge clk or negedge rst_n) begin
  20.     if(rst_n == 1'b0)
  21.         freq_cnt <= 32'd0;
  22.     else if(!sin_creater_en)
  23.         freq_cnt <= 32'd0;
  24.     else begin
  25.         if(freq_cnt == SIN_FREQ_ADJ)   
  26.             freq_cnt <= 32'd0;
  27.         else         
  28.             freq_cnt <= freq_cnt + 32'd1;
  29.     end
  30. end

  31. //rd_addr to read rom
  32. always @(posedge clk or negedge rst_n) begin
  33.     if(rst_n == 1'b0)
  34.         rd_addr <= 5'd0 + SIN_PHASE;
  35.     else if(!sin_creater_en)
  36.         rd_addr <= 5'd0 + SIN_PHASE;
  37.     else begin
  38.         if(freq_cnt == SIN_FREQ_ADJ)
  39.             rd_addr <= rd_addr + 5'd1;   
  40.     end            
  41. end


  42. endmodule
复制代码
给一个正弦波发生器添加了一个使能信号sin_creater_en,当使能端拉高时模块开始工作。经signaltapii抓取波形sin_creater_en拉高信号可以正常进来,但是fq_cnt不走,请问是我设计的逻辑有问题吗?

最佳答案

查看完整内容[请看2#楼]

已测试,这单个模块是没有问题的,可能调度模块出了错误
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-7-3 10:23:40 | 显示全部楼层
已测试,这单个模块是没有问题的,可能调度模块出了错误
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-7-3 10:44:24 | 显示全部楼层
顶~~~~~~~~~~~~~~~~~~~~~~
回复

使用道具 举报

21

主题

103

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
490
金钱
490
注册时间
2019-1-18
在线时间
101 小时
 楼主| 发表于 2020-7-3 10:45:00 | 显示全部楼层
顶~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 11:20

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表