OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (42)

正点原子FPGA 今日: 0|主题: 3973|排名: 36 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
已解决 预览 [ALTERA]新起点开发板的按键按了之后是低电平还是高电平 magazine111 2019-4-5 16923 正点原子 2019-4-6 23:31
悬赏 预览 FPGA板子开发 新人帖 江湖郎中 2019-4-6 15138 正点原子 2019-4-6 23:25
悬赏 预览 为何用JTAG烧写过QSPI之后MCU再擦写QSPI,FPGA就能加载,没用过JTAG,MCU直接擦写后FPGA不能加载? sheepsleepin414 2019-4-4 25705 MY40130064 2019-4-4 15:10
预览 Xilinx FPGA设计专家必备宝典(基础教程+串口设计+开发秘籍) attachment 熊猫会武术 2019-3-27 14647 倾心思梦 2019-4-4 12:34
预览 DIY 带我足够强大 2019-3-28 15082 倾心思梦 2019-4-4 12:33
悬赏 预览 求助,RS485串口通信,上板子调试串口无数据回传 attach_img qq522704760 2019-4-2 55943 MY40130064 2019-4-3 14:40
已解决 预览 FPGA 动态数码管这个实验的系统时钟十分频的数是正确的吗? 小熊snail 2019-4-2 35495 小熊snail 2019-4-3 10:51
预览 Verilog 中的initial语句赋值问题 attach_img Delos 2019-4-2 15205 avioshigang520 2019-4-2 11:05
已解决 预览 求助求助,有偿,如何用VGA模块显示8位数据信号。 新人帖 magazine111 2019-3-27 56217 SunML 2019-4-2 09:30
已解决 预览 有一点点基础, 有点想学FPGA, 请教几个问题 ZHDX 2019-3-30 36032 coxswain80 2019-4-1 14:17
已解决 预览 找不到megawizard plug-in manager attach_img Soitgoes 2019-3-30 47304 SunML 2019-3-31 14:00
已解决 预览 在例化的时候 所有的input和output是怎么选中变成点的,为什么我用鼠标一选就全选中了? 小熊snail 2019-3-30 25355 QinQZ 2019-3-31 13:49
悬赏 预览 数据锁存模块 CASEYNAOMI 2019-3-30 26333 SunML 2019-3-31 11:20
已解决 预览 想问一下,仿真有输出有一个周期延迟,对硬件测试有影响么 magazine111 2019-3-30 35944 SunML 2019-3-31 11:16
预览 FSMC通信,数据接收问题 attach_img CASEYNAOMI 2019-3-30 15293 zuan 2019-3-30 09:52
悬赏 预览 请教FPGA使用MASTER SPI 方式加载的一些问题 sheepsleepin414 2019-3-29 25803 peng1554 2019-3-29 20:33
已解决 预览 SDRAM和DDR2区别 Princeling 2019-3-28 45655 Princeling 2019-3-28 20:39
悬赏 预览 求助大家,modelsim仿真问题 新人帖 attach_img 垚垚 2019-3-25 67235 QinQZ 2019-3-26 13:48
已解决 预览 如何用FPGA 实现增量式编码器?想做一个电机的闭环控制 CASEYNAOMI 2019-3-20 35320 CASEYNAOMI 2019-3-25 11:45
已解决 预览 [ALTERA]请教一下,刚买的正点原子FPGA,软件安装不上了,已经弄了一晚上了 attach_img 支持者2400 2019-3-23 28761 QinQZ 2019-3-24 09:26
预览 [ALTERA]新买的EP4CE10 开拓者无法下载,请帮忙确认是什么原因?谢谢 新人帖 attach_img 蒋金桂 2019-3-23 16143 蒋金桂 2019-3-23 11:44
预览 写给小白们的FPGA入门设计实验 attachment 熊猫会武术 2019-3-18 45817 15198959644 2019-3-21 11:02
预览 小梅哥和你一起深入学习FPGA之DAC驱动 attach_img 小梅哥 2014-11-25 2510136 WPFei 2019-3-21 09:13
预览 小梅哥和你一起深入学习FPGA之实验目录 小梅哥 2014-10-22 810599 WPFei 2019-3-20 15:39
已解决 预览 关于FPGA的入门问题,FPGA中的管脚功能应该不是固定的吧?比如开发板的N5是串口发送脚,也可以将它设计成串口接收吗? wsdkyzd 2019-3-19 46198 SunML 2019-3-19 16:51
已解决 预览 正点的ov5640器件地址 Princeling 2019-3-18 16008 Princeling 2019-3-18 21:48
已解决 预览 modelsim仿真提示Illegal reference问题。 WPFei 2019-3-15 15338 QinQZ 2019-3-15 22:29
已解决 预览 求fpga/cpld量产烧录工具 attach_img 244141084 2019-1-8 67882 244141084 2019-3-15 16:37
已解决 预览 Quartus II的rom IP核读取一位数据问题。 WPFei 2019-3-15 15319 WPFei 2019-3-15 11:18
悬赏 预览 FPGA的IO口灌电流和拉电流大小 sdvrvrvert 2019-3-14 16086 szczyb1314 2019-3-15 08:23
预览 [XILINX]H.264低延时图像处理板(xilinx Zynq xc7z020) attach_img yinyidianzi 2018-12-10 26055 yinyidianzi 2019-3-14 15:55
已解决 预览 求助:怎样verilog代码,在quartus II编译时读入本地波形数据文件。 WPFei 2019-3-13 15208 QinQZ 2019-3-13 10:59
悬赏 预览 touch_led的联合仿真错误 黑色 2019-3-11 22934 WPFei 2019-3-13 10:43
已解决 预览 modelsim仿真时无法编辑源文件问题 新人帖 attach_img WPFei 2019-3-11 25977 WPFei 2019-3-12 16:40
预览 整理的一些华为方面的资料,有兴趣的可以看看 attachment 熊猫会武术 2019-3-7 25138 零三翟邢止胃 2019-3-8 08:19
悬赏 预览 quatues 13自带仿真运行闪退 新人帖 attach_img 15090376893 2019-3-6 85934 15090376893 2019-3-7 13:23
悬赏 预览 FPGA如何对时钟实现精准分频? 新人帖 ni952777 2019-3-3 25484 mack13013 2019-3-4 09:09
悬赏 预览 altera的MAX10系列FPGA内部自带AD请问AD的输入IO是哪几个 飞过漠北的蓝天 2019-3-2 05350 飞过漠北的蓝天 2019-3-2 21:58
预览 激光雷达 attach_img 凯茜帕鲁格 2019-2-27 04534 凯茜帕鲁格 2019-2-27 15:15
悬赏 预览 FPGA 以太网开发 通信问题 新人帖 attach_img rainsy321 2019-2-21 66599 rainsy321 2019-2-25 10:27
已解决 预览 为什么用xilinx的人比用altera的人多? 飞过漠北的蓝天 2019-2-11 15104 cornrn 2019-2-25 09:32
已解决 预览 我有STM32F4的相关经验,如果要学FPGA会很困难吗,需要多久呢 JohnWeinstein 2019-2-22 25201 JohnWeinstein 2019-2-23 14:32
预览 [ALTERA]出售阿波罗STM32F767开发板,或换开拓者FPGA开发板 新人帖 zmken497300 2019-2-15 35293 zmken497300 2019-2-19 15:46
已解决 预览 xilinx的Vivado仿真是不是比altera的modelsim速度快 飞过漠北的蓝天 2019-1-25 16000 飞过漠北的蓝天 2019-2-16 16:57
已解决 预览 为什么用xilinx的人比用altera的人多? 飞过漠北的蓝天 2019-2-13 510286 飞过漠北的蓝天 2019-2-15 18:01
投票 预览 刚开始学FPGA,希望原子出一个文档关于各种类型的报错警告的解决办法步骤 banshan 2019-1-6 46403 coxswain80 2019-2-13 12:13
预览 感觉原子对FPGA这部分的重视跟STM32差远了... 新人帖 shatan_123 2019-1-31 34971 轻唱碎流年 2019-2-11 10:20
预览 PCB线路板行业内知名的大企业 快捷PCB打样 2018-11-1 04800 快捷PCB打样 2019-2-9 23:32
悬赏 预览 FPGA16位数据转8位的疑问 共和国土豆饼 2019-2-3 25223 共和国土豆饼 2019-2-5 10:28
悬赏 预览 logic analyser 调试的时候一直卡在waiting for trigger attach_img 共和国土豆饼 2019-2-2 25089 共和国土豆饼 2019-2-3 16:30
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 03:25

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块