OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (42)

正点原子FPGA 今日: 0|主题: 3973|排名: 36 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
悬赏 预览 建议 新人帖 21点02分 2018-11-15 35850 正点原子 2018-11-17 02:49
已解决 预览 7寸屏幕显示不正常,感光测试显示数值为000 attach_img snailck 2018-11-14 46289 peng1554 2018-11-15 12:00
已解决 预览 跟着手册做的,为啥仿真到这一步就不一样了呢 attach_img 1491430114 2018-10-25 56667 1491430114 2018-11-15 10:45
预览 分享贴:刚完成的FPGA插值滤波器设计 fpgayang 2018-11-15 35963 秋天 2018-11-15 09:11
预览 基于FPGA的AD/DA采集(附件源码代码) attachment 王工1465177751 2018-11-14 25755 王工1465177751 2018-11-14 16:29
预览 串口通信--明德扬至简设计案例与应用FPGA fpgayang 2018-11-13 05779 fpgayang 2018-11-13 10:33
悬赏 预览 RGB565 支离益 2018-11-8 46044 支离益 2018-11-12 08:30
已解决 预览 FPGA开发指南是不是没有对引脚分配讲解 attachment DREAM1 2018-10-20 46984 皇甫仁和 2018-11-11 14:55
已解决 预览 FPGA入门求助 阿超写代码 2018-11-7 25763 peng1554 2018-11-7 16:48
预览 [XILINX]ZYNQ 7035小系统(40mm*50mm),网口/串口/jtag/tf卡一应俱全,求围观! [ attach_img yinyidianzi 2018-9-10 88646 yinyidianzi 2018-11-7 14:30
预览 明德扬FPGA项目实践1位闪烁灯设计 fpgayang 2018-11-7 05273 fpgayang 2018-11-7 09:23
已解决 预览 关于阻塞与非阻塞赋值的问题 a3748622 2018-11-3 66486 a3748622 2018-11-6 09:27
预览 明德扬FPGA连载课程第一阶段第三章VERILOG(2) fpgayang 2018-11-6 05425 fpgayang 2018-11-6 09:22
悬赏 预览 关于FPGA串口中断使用的问题 attach_img huangtuo123 2018-11-5 15744 正点原子 2018-11-6 02:20
预览 明德扬FPGA连载课程第一阶段第三章VERILOG(1) fpgayang 2018-11-5 05021 fpgayang 2018-11-5 20:26
已解决 预览 EP4CE10F17C8中各个字母代表什么参数吗?求帮忙解释一下 attach_img 背后的凝望 2018-11-5 15365 gfddsr 2018-11-5 17:30
悬赏 预览 modelsim联合仿真失败 attach_img a3748622 2018-11-2 56299 gfddsr 2018-11-5 16:21
已解决 预览 请教一个NIOS进行IP核连接的问题,先行多谢了!! 新人帖 冶明微 2018-11-4 15185 SunML 2018-11-5 08:37
已解决 预览 quartus 生成 子模块问题 attach_img a3748622 2018-11-3 38033 SunML 2018-11-4 10:15
已解决 预览 新手一个,求大神们推荐一下怎么学习FPGA? 背后的凝望 2018-10-20 47467 zhanjian 2018-11-4 10:14
已解决 预览 quartusII软件RTL viewer显示的问题 attach_img zd845101500 2018-10-24 65980 zd845101500 2018-11-2 16:49
预览 明德扬FPGA连载课程第一阶段第二章FPGA设计流程 fpgayang 2018-11-2 05220 fpgayang 2018-11-2 09:09
预览 明德扬FPGA连载课程第一章阶段设计基础一.FPGA简介 fpgayang 2018-11-1 04747 fpgayang 2018-11-1 10:47
已解决 预览 请问发布会线上抽奖的名单什么时候公布呀,在哪里公布呀 attach_img DREAM1 2018-10-22 46222 LRW 2018-10-31 22:09
悬赏 预览 stm32 与fpga进行spi通讯的问题 ganquanlu 2016-10-29 139797 1210568312 2018-10-30 09:16
已解决 预览 怎么实现dsp定义变量在指定的地址 ? 老虎的菜 2018-9-19 36919 老虎的菜 2018-10-22 13:13
预览 DSP28335 系列开发日记 attach_img  ...2 玉面飞龙 2015-8-18 5027666 szczyb1314 2018-10-21 18:34
预览 明德扬至简设计法--verilog的综合器和仿真器 attach_img 王工1465177751 2018-10-8 05112 王工1465177751 2018-10-8 16:27
预览 VGA显示矩阵教学-至简设计 attachment fpgayang 2018-10-5 05125 fpgayang 2018-10-5 11:24
预览 手把手教你设计VGA显示颜色 附件更详细 attachment fpgayang 2018-10-4 05225 fpgayang 2018-10-4 10:29
预览 基于VIVADO时序约束视频教程 attach_img 王工1465177751 2018-9-30 05273 王工1465177751 2018-9-30 11:20
预览 手把手教你学FPGA设计-秒表功能 attachment fpgayang 2018-9-30 06284 fpgayang 2018-9-30 11:11
已解决 预览 FPGA数码管动态扫描附件详细的讲解 attachment fpgayang 2018-9-29 17042 正点原子 2018-9-30 01:51
预览 基于至简设计法的串口通信设计 王工1465177751 2018-9-28 06272 王工1465177751 2018-9-28 16:04
预览 基于FPGA至简设计法的4位闪烁灯 附件更详细 attachment fpgayang 2018-9-26 05710 fpgayang 2018-9-26 09:56
预览 基于FPGA至简设计法的OV7670图像采集 attach_img 王工1465177751 2018-9-25 05663 王工1465177751 2018-9-25 17:59
预览 1位呼吸灯综合工程和上板【1241003385】 fpgayang 2018-9-22 05822 fpgayang 2018-9-22 13:10
预览 FPGA1位闪烁灯设计[1241003385] fpgayang 2018-9-21 05449 fpgayang 2018-9-21 13:23
预览 FPGA入门基础学习--D触发器、波形、代码 王工1465177751 2018-9-20 07093 王工1465177751 2018-9-20 16:16
预览 FPGA至简设计法高效设计[1241003385] fpgayang 2018-9-20 05939 fpgayang 2018-9-20 09:23
预览 FPGA至简设计法经典案例3【1241003385】 fpgayang 2018-9-19 05378 fpgayang 2018-9-19 09:45
预览 FPGA至简设计法案例4 【12401003385】 fpgayang 2018-9-18 03456 fpgayang 2018-9-18 08:36
预览 至简设计法经典案例2 1241003385 fpgayang 2018-9-17 05765 fpgayang 2018-9-17 14:11
预览 FPGA经典设计案例1241003385 fpgayang 2018-9-14 05203 fpgayang 2018-9-14 16:01
预览 基于FPGA的信号处理 FFT attachment 王工1465177751 2018-9-12 05198 王工1465177751 2018-9-12 11:37
已解决 预览 【DSP】为什么看网上用单片机做的音乐频谱好像不怎么对呢? 飛饵 2018-7-12 58441 ufbycd 2018-9-11 16:33
预览 [XILINX]H.264视频编解码低延迟xilinx Zynq系列FPGA解决方案资源占比详图 attach_img yinyidianzi 2018-6-25 16244 yinyidianzi 2018-9-10 18:19
预览 【干货】FPGA笔试面试视频教程 王工1465177751 2018-9-10 04823 王工1465177751 2018-9-10 16:26
预览 【转】寄存器自动化配置通用案例 王工1465177751 2018-9-5 04813 王工1465177751 2018-9-5 15:58
预览 FPGA代码整洁之道3- 信号命名和定义应该明确 attachment fpgayang 2018-9-4 16264 lostbird 2018-9-4 18:17
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 01:18

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块