OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (42)

正点原子FPGA 今日: 0|主题: 3973|排名: 4 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
悬赏 预览 [XILINX]ZYNQ7020裸机开发,lwip 使用SNTP时,报错未定义 attach_img dai410257573 2023-1-31 43785 helloqds 2023-2-1 14:10
预览 [XILINX]六位数码管动态显示,为什么仿真有不定值 attach_img cuitjxg 2023-1-20 14295 QinQZ 2023-1-30 11:22
悬赏 预览 [XILINX]zynq 启明星EMIO控制LED实验控不了led灯 teens 2023-1-24 14163 QinQZ 2023-1-30 11:16
悬赏 预览 [ALTERA]流水灯例程仿真LED波形不对求助 styggen 2023-1-25 14313 QinQZ 2023-1-30 11:14
已解决 预览 [XILINX]关于程序有部分语句不执行的情况 attach_img Sue43 2023-1-24 13908 LcwSwust 2023-1-30 10:25
已解决 预览 [ALTERA]请教原子哥关于FPGA上电复位的问题 attach_img 天天mdk 2022-4-18 65835 无量寿经 2023-1-24 18:53
预览 [其他]ise软件License(长期有效) attachment gfddsr 2020-12-3 611775 t01051 2023-1-22 19:51
已解决 预览 [XILINX]ZYNQ OV7725 LCD显示实验中不明白的地方 attach_img 刘王村 2023-1-17 74611 QinQZ 2023-1-18 10:40
预览 [XILINX]Xilinx FPGA电源设计与注意事项 attach_img ming654298 2023-1-16 04572 ming654298 2023-1-16 17:29
悬赏 预览 [XILINX]有支持REALTEK网卡芯片的LWIP库能分享吗 attach_img xinyuoks 2023-1-12 54654 QinQZ 2023-1-16 16:44
悬赏 预览 [XILINX]超越者S6 无法把MCS文件固化到FLASH 新人帖 attach_img PieskiTsao 2023-1-15 44150 PieskiTsao 2023-1-16 11:56
已解决 预览 [XILINX]关于 verilog always 语句块语法的问题 attach_img Sue43 2023-1-15 24205 LcwSwust 2023-1-16 10:51
悬赏 预览 [XILINX]使用LWIP的时候,获取TCP的状态, 返回的是 0x11(17),这是什么状态? dai410257573 2023-1-14 14109 鑫梦 2023-1-16 10:34
预览 [XILINX]【正点原子FPGA连载】第五十七章基于OV5640摄像头的数字识别实验--摘自【正点原子】超越者之FPGA开发指南 attach_img 正点原子运营 2021-1-27 210644 932904676xy 2023-1-16 10:26
预览 [XILINX]【正点原子FPGA连载】第三十三章 基于lwip的tftp server实验--摘自【正点原子】领航者ZYNQ之嵌入式开发指南_V1.2 attach_img 正点原子运营 2020-11-17 611498 helloqds 2023-1-16 10:18
悬赏 预览 [XILINX]领航者开发板使用jtag查看xadc 各电压都是0 attach_img king3306 2023-1-15 14419 QinQZ 2023-1-16 10:09
已解决 预览 [ALTERA]请问一下,quartus 有 可以单独安装的下载工具(固化程序)吗? dai410257573 2022-12-12 45424 dai410257573 2023-1-14 14:14
预览 [XILINX]JESD204B/MIPI/视频拼接/激光测距项目FPGA教学课程 ming654298 2023-1-13 04635 ming654298 2023-1-13 19:35
悬赏 预览 [XILINX][XILINX] 程序固化实验program flash失败 新人帖 zc800tc 2023-1-10 15201 caojunye 2023-1-13 15:23
已解决 预览 [ALTERA]用signaltap仿真IP核PLL实验,无波形 新人帖 attach_img 361037950 2023-1-12 34835 QinQZ 2023-1-13 15:06
悬赏 预览 [国产FPGA]求助,7020可以加装大存储吗? 新人帖 1014110142 2023-1-12 14758 QinQZ 2023-1-13 09:43
悬赏 预览 [XILINX]小白问题:经常遇到SDK找不到头文件怎么解决 新人帖 MicahLiu 2023-1-12 12523 caojunye 2023-1-13 09:37
已解决 预览 [XILINX]ZYNQ嵌入式开发自定义IP核 新人帖 ZYNQX 2021-1-18 1113386 刘王村 2023-1-11 20:34
预览 [其他]明德扬FPGA提升问题答疑汇总 attach_img ming654298 2023-1-11 04984 ming654298 2023-1-11 10:51
已解决 预览 [XILINX]Vitis自定义IP核BSP编译报错 attach_img 刘王村 2023-1-9 115480 刘王村 2023-1-10 15:28
预览 [XILINX]ISE Floating Points IP核报端口错误 attach_img cuitjxg 2023-1-8 11663 QinQZ 2023-1-10 10:07
悬赏 预览 [XILINX]固化文件的格式选择,在VIVADO中 有BIN文件和MCS文件 两种都是烧写到flash中的,他们有什么区别?应该怎么正确的选择? fgao 2023-1-9 13796 QinQZ 2023-1-10 09:18
预览 [XILINX]【正点原子FPGA连载】第三章 开发环境搭建--摘自【正点原子】领航者ZYNQ之Linux开发指南_V1.3 attach_img 正点原子运营 2020-11-20 111379 rfhjw 2023-1-7 13:34
预览 [XILINX]基于Kintex-7 FPGA的核心板电路设计 ming654298 2023-1-6 04600 ming654298 2023-1-6 10:28
悬赏 预览 [XILINX]自定义IP核没有生成驱动文件 新人帖 hiwait 2020-11-20 311710 QinQZ 2023-1-6 09:23
已解决 预览 [XILINX]AXI4-stream协议tvalid信号怎么抓 attach_img xara 2023-1-3 44984 xara 2023-1-5 14:36
已解决 预览 [XILINX]达芬奇Pro网口UDP测试收不到数据 新人帖 Wiseman 2023-1-2 45124 Wiseman 2023-1-4 21:23
已解决 预览 [XILINX]vivado中有比较器的IP核吗 新人帖 attach_img ZWH12311 2023-1-3 24920 ZWH12311 2023-1-4 11:20
预览 [XILINX]HDMI1.4/2.0 Subsystem官方例程的建立 ming654298 2023-1-4 04736 ming654298 2023-1-4 10:10
悬赏 预览 [XILINX]使用Vitis进行ZYNQ7020双核通信BSP报错 attach_img 刘王村 2022-12-31 12781 QinQZ 2023-1-3 10:32
悬赏 预览 [XILINX]启明星扩展接口驱动直流电机 新人帖 attach_img cmy760 2023-1-1 14607 QinQZ 2023-1-3 10:24
已解决 预览 [XILINX]Vivado 2018.3无法下载程序 新人帖 attach_img 菜鸟初学者1 2021-2-22 1015139 tangqian 2023-1-2 21:15
预览 [ALTERA]优化温度检测工程架构的心得 ming654298 2022-12-31 04694 ming654298 2022-12-31 11:42
预览 [其他]rtc时钟用oled显示,急需急需代码 新人帖 许星纯 2022-12-21 25237 peng1554 2022-12-30 14:46
已解决 预览 [国产FPGA]PDS的license应该怎么申请,感觉很难申请 新人帖 feiante116 2022-11-24 56469 QinQZ 2022-12-30 14:44
悬赏 预览 [XILINX]使用Vitis进行ZYNQ的SD卡读写实验时编译报错 attachment 刘王村 2022-12-29 45138 刘王村 2022-12-30 09:45
预览 [XILINX]赛林斯ram读写时序错位,是因为读写采用了不同时序的问题吗 新人帖 ila 2022-12-28 14033 QinQZ 2022-12-29 19:57
悬赏 预览 [国产FPGA]关于DFPGL22G的IP配置,增加扩展的IP核心。 新人帖 秦搏剑影 2022-12-28 14867 QinQZ 2022-12-29 17:29
悬赏 预览 [XILINX]使用Vitis进行ZYNQ SD卡读写实验编译失败 刘王村 2022-12-29 04460 刘王村 2022-12-29 16:14
预览 [XILINX]明德扬FPGA项目案例/高速接口/PCIE采集系统 ming654298 2022-12-29 04454 ming654298 2022-12-29 11:12
已解决 预览 [XILINX]在Linux中第六章Petalinux设计流程中,编写完设备树代码进行编译 attach_img a3413209 2020-10-22 37027 zhy1 2022-12-28 17:42
预览 [ALTERA]《温度检测工程》AD采集工程 attach_img ming654298 2022-12-28 04487 ming654298 2022-12-28 15:12
预览 [ALTERA]FPGA时序约束分享02_时钟约束 attach_img ming654298 2022-3-21 16397 lindaoyou 2022-12-27 15:34
已解决 预览 [XILINX]有谁可以提供个FPGA解析串口数据包的例程学习学习 新人帖 attachment whl876954091 2021-9-11 78913 whl876954091 2022-12-27 15:27
预览 [XILINX]千兆以太网工程(高速接口) attach_img ming654298 2022-12-26 04880 ming654298 2022-12-26 09:59
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-4 02:27

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块