OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (39)

正点原子FPGA 今日: 0|主题: 4665|排名: 5 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
digest 隐藏置顶帖 预览 正点原子资料下载中心:包含STM32/Linux/FPGA/ZYNQ/开源四轴/IoT等所有正点原子产品资料,几千讲视频全部免费,全部开源。 attach_img  ...23456..30 正点原子 2013-4-7 14746160282 t1535534300 6 天前
全局置顶 隐藏置顶帖 预览 "原子哥”在线教学平台正式上线,支持WEB、Andriod/IOS,海量视频免费学习,赶紧来看看吧 attach_img  ...2 原子哥 2020-3-9 66157370 hongyan123 2024-5-9 19:58
全局置顶 隐藏置顶帖 预览 正点原子B站上线啦,全套视频均可在B站免费学习。赶紧关注原子哥B站,粉丝都会关注哦 原子哥 2020-2-3 4497504 有点菜 2024-4-24 11:41
digest 隐藏置顶帖 预览 [XILINX]【阿东手把手教你学FPGA】正点原子FPGA产品如何选择 attach_img dongguo100 2023-3-6 88639 dhuizai 2024-7-27 22:07
本版置顶 隐藏置顶帖 预览 [XILINX]【阿东手把手教你学FPGA】FPGA学习的几个误区 attach_img dongguo100 2023-3-4 25380 CJC529 2024-5-14 11:05
本版置顶 隐藏置顶帖 预览 [XILINX]【阿东手把手教你学FPGA】CPU、DSP和FPGA有什么区别? attach_img dongguo100 2023-3-8 34483 s290257552 2023-8-28 05:30
  版块主题   
【万众期待】正点原子T80智能电烙铁发布、2-5秒化锡、100W功率、PD/QC快充头供电、感应休眠、OLED数显、电子工程师的好帮手1970-1-11970-1-1 08:00
预览 [国产FPGA]抗噪防干扰高亮数码管驱动芯片LED数码屏驱动高稳定数显IC-VK16K33A/AA SOP28/SSOP28 FAE支持 attach_img 果芒DB 昨天 17:20 017 果芒DB 昨天 17:20
悬赏 预览 [XILINX]求助:达芬奇Pro 固化程序失败 新人帖 Prometheus4 2024-5-12 1170 xige 昨天 14:01
悬赏 预览 [XILINX]ZYNQ 7020 开发板没有 USB3320教程呀 张静茹 前天 21:45 120 QinQZ 昨天 13:46
预览 [国产FPGA]LED屏驱动芯片抗干扰抗噪数显IC数码管恒流驱动VK16D33 SOP28 attach_img 果芒DB 前天 15:44 029 果芒DB 前天 15:44
已解决 预览 [XILINX]Zynq7020通过TCP协议远程更新QSPI FLASH实验 新人帖 attach_img TXX1 2024-2-23 8517 chinalihuanyu 前天 14:55
【正点原子逻辑分析仪DL16热销中】最多16通道,采样频率最高可达1GHz1970-1-11970-1-1 08:00
悬赏 预览 [XILINX]异步fifo读取异常,读数据出现异常 新人帖 antoine 3 天前 131 QinQZ 前天 11:00
预览 [XILINX]BOOT.BIN启动文件疑问,芯片的bootRom代码里就支持fat文件系统了吗 郭震2009 3 天前 024 郭震2009 3 天前
悬赏 预览 [XILINX]petalinux编译设备树出错,找不到dt-binding/下的头文件 attach_img spfaaafps 3 天前 134 QinQZ 3 天前
悬赏 预览 [国产FPGA]pgl25g与dsp28379用emif进行通讯时程序被擦除 新人帖 lingyl 4 天前 126 QinQZ 3 天前
预览 [XILINX]【正点原子FPGA连载】第十八章 SD卡读BMP图片LCD显示实验--摘自【正点原子】领航者ZYNQ之嵌入式开发指南_V1.2 attach_img 正点原子运营 2020-9-8 45858 dhuizai 3 天前
预览 [国产FPGA]8×4点阵数码管驱动IC抗干扰LED驱动芯片数显驱动控制器VK1650 SOP16/DIP16 attach_img 果芒DB 2024-5-16 245 dhuizai 3 天前
预览 [国产FPGA]PDS软件License的申请和激活 attachment gfddsr 2022-11-25 1815312 dhuizai 3 天前
预览 [XILINX]【速度收藏】这里有你想要的学习资料! attach_img ming654298 2023-3-11 43279 Winkawaks 4 天前
预览 [国产FPGA]LED数显芯片抗干扰数码管驱动IC超小封装数显驱动控制器VK1Q60 QFN16L灰度8级7×4按键 attach_img 果芒DB 4 天前 028 果芒DB 4 天前
正点原子RK3568卡片电脑面市,瑞芯微方案,功能强大原子哥2020-11-1212060616arm51avr2022-4-30 10:44
悬赏 预览 [ALTERA]震惊USB blasterII download .sof 次次34%fail 天际超体 2024-4-30 18168 天际超体 4 天前
悬赏 预览 [XILINX]zynq中使用scanf函数,没有反应,打印可以,输入不行 13524183701 7 天前 148 caojunye 4 天前
已解决 预览 [XILINX]hdmi_drm相关的驱动在哪个文件里? 新人帖 三价铜 2024-5-10 787 helloqds 5 天前
预览 [ALTERA]Nios2 三速以太网TCP服务器通信 attach_img 517806986 2021-9-17 13847 张曼123 6 天前
预览 [国产FPGA]LED数码屏驱动IC抗噪数显芯片数显驱动控制器VK1640A SSOP28原厂技术支持 attach_img 果芒DB 2024-5-14 149 果芒DB 7 天前
悬赏 预览 [XILINX]关于ov5640的分辨率配置问题 Sue43 2024-1-1 3443 swwking 7 天前
悬赏 预览 [XILINX][领航者]基于ov5640的以太网视频传输实验怎么更改分辨率为1080P 新人帖 吃葡萄皮不吐籽 2023-9-14 131120 swwking 7 天前
已解决 预览 [XILINX]请问下pl下几个reg寄存器在linux驱动中地址的问题 宇外星空 2024-5-15 342 宇外星空 2024-5-16 14:01
预览 [ALTERA]【正点原子FPGA连载】第二十七章 频率计实验--摘自《正点原子开拓者FPGA开发指南》 attach_img 正点原子运营 2019-5-17 1012665 QinQZ 2024-5-16 08:55
悬赏 预览 [XILINX]为什么qspi读写flash,读出的flash id是FlashID=0x0 0x0 0x0 新人帖 kcsj 2024-5-14 148 caojunye 2024-5-15 19:53
【惊艳】正点原子H550智能热风枪面市,控温准,升温快,电工好帮手1970-1-11970-1-1 08:00
预览 [XILINX]生成的IP核只有一个.xci文件,不包含.v文件 attach_img TYQA 2024-5-14 357 测试仪器 2024-5-15 16:01
预览 [其他]南磁电子:高功率电感器的应用说明 ziliaofenxiang 2024-5-15 047 ziliaofenxiang 2024-5-15 10:11
悬赏 预览 [XILINX]zynq7020切换成qspi启动方式后,无法启动 新人帖 温文稳问 2024-5-13 456 温文稳问 2024-5-15 09:53
已解决 预览 [XILINX]求助:PL端采集到的高速ADC数据,如何通过AXI总线传递到PS端? 新人帖 逢Tang 2024-5-14 159 QinQZ 2024-5-15 09:33
预览 [国产FPGA]pynq没有torch库怎么办 新人帖 qiuyiyang 2024-5-14 036 qiuyiyang 2024-5-14 15:36
已解决 预览 [XILINX]Zynq PS与PL端互联时,使用AXI DMA的原因是什么? 新人帖 fyyo429 2024-5-4 6138 fyyo429 2024-5-14 14:41
预览 [国产FPGA]PS端 如何修改SDK中很多自带的.h文件里的内容 winder灬 2024-5-14 144 liujc 2024-5-14 11:42
悬赏 预览 [XILINX]如果需要linux 能正常运行, vivado 工程上 最少要包含那些东西 郭震2009 2024-5-13 257 helloqds 2024-5-14 09:54
已解决 预览 [XILINX]领航者7020 ps端可以安装实时操作系统吗 新人帖 xioqishi 2024-5-13 1181 QinQZ 2024-5-14 09:12
已解决 预览 [XILINX]领航者ov5640采集经过sobel算法LCD显示,sobel算法移植到HDMI显示有问题 新人帖 attach_img TYQA 2024-5-10 7131 QinQZ 2024-5-13 16:17
正点原子ESP32 AI人工智能开发板上市,Python编程1970-1-11970-1-1 08:00
悬赏 预览 [XILINX]modbus crc-16校验的Verilog,总是校验不对,问题在哪里? duanzhiruorou 2024-5-13 272 duanzhiruorou 2024-5-13 14:11
悬赏 预览 [XILINX]想在LCD屏特定区域二值化,其余部分仍为灰度图像 栗栗栗子 2024-5-13 064 栗栗栗子 2024-5-13 11:29
预览 [国产FPGA]怎样使用SDK读取文本文档内容 winder灬 2024-5-9 271 winder灬 2024-5-13 09:16
悬赏 预览 [XILINX]使用Vitis生成的lwip例程,ping包时死机问题 新人帖 Lyb621 2024-5-10 186 wanglaoban 2024-5-12 18:18
悬赏 预览 [XILINX]AD采集数据通过以太网发送到上位机 新人帖 zdyz8023 2024-4-30 2133 XMTGCC 2024-5-11 10:41
悬赏 预览 [XILINX]petalinux 系统开发构建问题。 attach_img 郭震2009 2024-5-10 286 郭震2009 2024-5-10 18:17
已解决 预览 [XILINX]扩展训练hdmi图片移动问题 新人帖 attachment dxxy 2024-5-4 5112 xige 2024-5-10 18:05
预览 [XILINX]petalinux 编译和system.bit 使用问题 郭震2009 2024-5-9 365 helloqds 2024-5-10 17:31
悬赏 预览 [XILINX]vitis 界面字体显示奇怪,请问如何修改? attach_img baymaxlee 2024-4-30 2109 baymaxlee 2024-5-10 17:08
已解决 预览 [国产FPGA]用开拓者V2做高速ADDA示波器实验报错 新人帖 qweasdwdsda 2024-4-23 11212 lx0513 2024-5-10 15:39
预览 [XILINX]LVGL官方demo演示--基于ZYNQ-7010/7020 attach_img xiaotaotao 2020-7-18 24525 张静茹 2024-5-10 15:30
预览 [其他]动态数码管显示问题 切换 attach_img 2992075761 2024-5-10 048 2992075761 2024-5-10 11:37
预览 [XILINX]Zynq移植Qt:gcc-multilib和arm-linux-gnueabihf-gcc相互影响 无糖加冰123 2024-5-9 174 无糖加冰123 2024-5-10 11:34
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-24 07:18

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块