OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (39)

正点原子FPGA 今日: 0|主题: 4649|排名: 2 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
预览 Xilinx高端下载器(Digilent SMT2NC)便宜出 chxidianzi 2017-2-21 14902 郭震2009 2024-3-21 12:55
已解决 预览 [XILINX]PYNQ启动失败,串口卡在了 no soundcards found 新人帖 attach_img 1471738569 2022-10-10 53292 heche 2024-3-20 10:56
已解决 预览 [其他]ATK-FUSB工具打开出现缺失ftd2xx.dll文件 attachment jefyang 2023-12-28 3550 liyingyubinglia 2024-3-19 19:21
悬赏 预览 [XILINX]教程上UDP回环通信实验接入交换机后板子无法接收数据 新人帖 gengar 2024-3-6 5290 gengar 2024-3-19 13:54
预览 [XILINX]新手跑7010的点亮led试验综合过不去,请问什么原因啊 新人帖 attach_img TTT611 2024-3-17 3273 jermy_z 2024-3-19 11:23
悬赏 预览 [XILINX]Linux nfs本地挂载时出现not respoding,still trying 无糖加冰123 2024-3-18 1230 长河饮马 2024-3-19 09:41
预览 [国产FPGA]流水灯报错 新人帖 attach_img wbhfpga 2024-3-18 1202 464506176 2024-3-19 09:18
预览 [XILINX]Zynq Linux开发 tftpboot文件夹总是丢失 无糖加冰123 2024-1-26 2394 无糖加冰123 2024-3-18 17:51
悬赏 预览 [XILINX]petalinux2023编译出来的ZYNQ7020嵌入式linux无法通过sd卡boot 新人帖 Ircon 2024-2-21 5360 974868000 2024-3-18 16:35
已解决 预览 [XILINX]基于microblaze使用lwip协议,添加中断 新人帖 haha185 2024-3-14 4233 haha185 2024-3-18 16:30
预览 [其他]贴片类型电感器的应用说明 ziliaofenxiang 2024-3-18 0179 ziliaofenxiang 2024-3-18 16:02
预览 [XILINX]【阿东手把手教你学FPGA】FPGA入门学习方法 attach_img dongguo100 2023-3-20 64285 CJC529 2024-3-18 14:57
悬赏 预览 [国产FPGA]请问有大佬知道达芬奇A7-35t的板子有board file吗,新手第一天用板子 新人帖 bububu 2024-3-17 2189 CJC529 2024-3-18 13:55
预览 [XILINX]Pelinux-config错误:Failed to Extract Yocto SDK attach_img 无糖加冰123 2024-2-5 3457 无糖加冰123 2024-3-17 16:31
预览 [XILINX]对HDMI-TMDS算法的一些思考 luzhenyue 2024-1-1 1408 CJC529 2024-3-15 16:41
预览 [ALTERA]【学习心得】学习SDRAM课程体会 ming654298 2022-12-19 14085 CJC529 2024-3-15 16:38
已解决 预览 [ALTERA]Cyclone IV 可以做HDMI输入转LCD吗 新人帖 skyTEAMw 2023-8-8 21013 CJC529 2024-3-15 15:30
悬赏 预览 [XILINX]ZYNQ UltraScale+ MPSoC开发AD9361模块 新人帖 attach_img 社会你坤哥 2024-3-14 2286 社会你坤哥 2024-3-15 10:38
预览 [XILINX]xc7z100的板子来和AD9361使用,有相应的vivado例程吗? 新人帖 lzl595lzl 2022-2-28 23057 社会你坤哥 2024-3-15 10:36
悬赏 预览 [XILINX]跑达芬奇ov5640_hdmi_sobel例程时序违例怎么解决 新人帖 attach_img cui2002 2024-3-14 0258 cui2002 2024-3-14 20:59
悬赏 预览 [XILINX]ZYNQ7010使用VITIS下载程序出现could not find arm device XUJUNZHU 2021-11-26 43508 战弘宇 2024-3-14 19:16
已解决 预览 [XILINX]两路视频图像采集实时显示该怎么实现? 新人帖 zehzeh 2024-3-13 5207 zehzeh 2024-3-14 15:08
悬赏 预览 [XILINX]zynq和stm32通过串口通信问题 新人帖 luch 2024-3-12 4210 QinQZ 2024-3-14 13:47
悬赏 预览 [XILINX]关于AXI读取DDR总线的疑问 CJC529 2024-3-13 3206 QinQZ 2024-3-14 09:27
预览 [其他]创捷电子:3225陶瓷晶振技术参数 ziliaofenxiang 2024-3-13 0223 ziliaofenxiang 2024-3-13 15:06
悬赏 预览 [国产FPGA]PDS 调用 ModelSim仿真编译出错 新人帖 zjyqj 2024-3-11 1233 xige 2024-3-12 11:25
已解决 预览 [XILINX]xilinx文档导航损坏,打开后如图 显示无效PDF 新人帖 attach_img mawenxuan10 2024-3-11 1225 QinQZ 2024-3-12 10:50
预览 [XILINX]【正点原子产品资料】OV5640双目摄像头模块资料下载和技术讨论链接 attach_img 原子哥 2019-10-25 1814993 52cc 2024-3-11 21:29
已解决 预览 [XILINX]正点原子 HDMI-IN 的例程是不是删掉了? CJC529 2024-3-9 4263 932904676xy 2024-3-11 16:38
预览 [国产FPGA]如何用FPGA实现读取SD卡的视频内容 新人帖 TmNbNeHs 2024-2-27 3368 TmNbNeHs 2024-3-11 15:50
预览 [XILINX]在vitis软件里无法launch on hardware 新人帖 okbabe 2024-3-9 1174 QinQZ 2024-3-11 10:06
已解决 预览 [国产FPGA]在运行官方vivado例程时,显示there is no debug cores 新人帖 attach_img 旺仔QQTANG 2023-10-7 3961 QinQZ 2024-3-11 09:59
悬赏 预览 [XILINX]各位老师,有遇到过Vivado编译不过不报错的情况吗?该怎么解决呢? CJC529 2024-3-9 2253 CJC529 2024-3-9 19:50
已解决 预览 [XILINX]封装自定义IP核,引用系统IP核添加工程找不到问题 新人帖 pplinux 2021-1-4 38272 pplinux 2024-3-9 14:57
已解决 预览 [XILINX]Zynq7020通过TCP协议远程更新QSPI FLASH实验 新人帖 attach_img TXX1 2024-2-23 6442 TXX1 2024-3-9 14:10
预览 [国产FPGA]《ATK-DFPGL22G 之FPGA开发指南》第三十七章 双路高速AD实验 attach_img 正点原子运营 2023-12-16 3561 alicefeier 2024-3-9 11:11
预览 [国产FPGA]《ATK-DFPGL22G 之FPGA开发指南》第三十二章 RTC实时时钟LCD显示实验 attach_img 正点原子运营 2023-12-6 1446 18276277347 2024-3-8 20:46
预览 [XILINX]Vivado软件License(长期有效) attach_img heatlevel  ...2 gfddsr 2019-8-9 5549160 MAIDANG 2024-3-7 16:44
预览 [其他]创捷电子:超小体积贴片晶振的技术参数 ziliaofenxiang 2024-3-7 0191 ziliaofenxiang 2024-3-7 15:41
预览 [XILINX]用petalinux打包时,报错说找不到bit流文件请问是为什么呀,我在vivado工程中也没有保护bit文件呀 attach_img xiaowutx 2024-3-6 0185 xiaowutx 2024-3-6 20:43
悬赏 预览 [XILINX]xc7a35t的GTP bank216是否可以不供电?不供电的话又是否可靠? 新人帖 焊武帝12138 2024-3-1 1232 QinQZ 2024-3-4 10:00
预览 [XILINX]领航者V2开发板出厂演示的FPGA和QT代码 新人帖 sygao1972 2024-2-29 2286 sygao1972 2024-3-3 20:11
预览 [XILINX]7020Petalinux构建Qt项目_开发板SD卡启动linux失败 attach_img 好慌23333 2024-2-20 6457 好慌23333 2024-3-3 19:47
悬赏 预览 [XILINX]自定义IP核时,File Group警告 attach_img 18876729900 2024-3-1 1206 CJC529 2024-3-1 22:50
已解决 预览 [其他]关于双目OV5640摄像头的参数 Sue43 2024-1-31 2425 Sue43 2024-3-1 16:22
悬赏 预览 [其他]嵌入式linux开发中 在mobaxterm中连接usb-serial显示终端后去pinglinux的IP报错误Network is unreachable怎么解决呢 新人帖 Yaojf 2024-3-1 0105 Yaojf 2024-3-1 11:28
已解决 预览 [国产FPGA]正点原子FPGA按键与蜂鸣器之间进行切换 新人帖 attachment A11877247738 2024-2-29 3301 mzux 2024-3-1 10:30
预览 [XILINX]请问在用vivado2020.2综合时报这个错是什么原因呀,直接使用正点原子的例程也会出现这个错误 attach_img xiaowutx 2024-2-27 3335 xiaowutx 2024-2-29 14:05
悬赏 预览 [XILINX]fpga如何点亮 0.96oled,网上例程太少了,看不懂stm32的语言 新人帖 qqqbbb111 2024-2-4 3481 duanzhiruorou 2024-2-28 17:45
预览 [国产FPGA]jxclx25 FPGA在哪个环境下进行开发 duanzhiruorou 2024-2-27 1208 QinQZ 2024-2-28 10:52
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-15 06:07

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块