OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (39)

正点原子FPGA 今日: 0|主题: 4721|排名: 2 

版主: QinQZ, dongguo100
作者 回复/查看 最后发表
投票 预览 刚开始学FPGA,希望原子出一个文档关于各种类型的报错警告的解决办法步骤 banshan 2019-1-6 46232 coxswain80 2019-2-13 12:13
预览 LM324ADT特征 attach_img ziliaofenxiang 2019-2-13 05200 ziliaofenxiang 2019-2-13 11:27
预览 B340LB-13-F特征 attach_img ziliaofenxiang 2019-2-12 04646 ziliaofenxiang 2019-2-12 14:48
预览 勇敢的芯伴你玩转Altera FPGA连载104:AD和DA联合测试 attach_img rousong1989 2019-1-26 15482 轻唱碎流年 2019-2-11 10:21
预览 MC7815CDTRKG特征 ziliaofenxiang 2019-1-28 14737 轻唱碎流年 2019-2-11 10:20
预览 感觉原子对FPGA这部分的重视跟STM32差远了... 新人帖 shatan_123 2019-1-31 34825 轻唱碎流年 2019-2-11 10:20
预览 PCB线路板行业内知名的大企业 快捷PCB打样 2018-11-1 04676 快捷PCB打样 2019-2-9 23:32
悬赏 预览 FPGA16位数据转8位的疑问 共和国土豆饼 2019-2-3 25069 共和国土豆饼 2019-2-5 10:28
悬赏 预览 logic analyser 调试的时候一直卡在waiting for trigger attach_img 共和国土豆饼 2019-2-2 24913 共和国土豆饼 2019-2-3 16:30
悬赏 预览 原子哥源码里面为什么W9825G6KH就只配置了1M的资源 attach_img 共和国土豆饼 2019-2-1 25661 共和国土豆饼 2019-2-1 10:18
悬赏 预览 请问大家做大型设计的时候是否仿真 飞过漠北的蓝天 2019-1-25 15617 QinQZ 2019-1-31 19:15
已解决 预览 verilog 位宽转换问题 MY40130064 2019-1-30 14351 QinQZ 2019-1-31 19:10
悬赏 预览 FPGA和DDR连接问题 双子花生 2019-1-31 05215 双子花生 2019-1-31 11:23
预览 资料视频中也就是图中的那个文件怎么来的 新人帖 attach_img 正义的伙伴灬 2019-1-28 16151 gzdb 2019-1-28 22:49
悬赏 预览 modelsim仿真问题 新手求教 attach_img gzdb 2019-1-25 35517 gzdb 2019-1-26 21:39
已解决 预览 关于DDR2仿真出错,提示(非系统报错)无法写入数据、增加数组深度或使用完全内存模型 attach_img 飞过漠北的蓝天 2019-1-24 14694 飞过漠北的蓝天 2019-1-24 23:35
已解决 预览 【新人求助】出现Error (12007): Top-level design entity "beef" is undefined 新人帖 hyy123 2019-1-23 37703 hyy123 2019-1-24 19:40
已解决 预览 verilog的always @ 后面的@有啥用呢,没有找到一个专业的解释啊 attach_img 天山狐狸 2019-1-15 68257 天山狐狸 2019-1-24 13:58
已解决 预览 [ALTERA]慢收一个新起点或其他的FPGA板子 天山狐狸 2019-1-14 89990 天山狐狸 2019-1-24 13:50
悬赏 预览 key_beep那个test bench怎么才能看到key_flag,实际的代码看不到,请问应该怎么写代码。 新人帖 attach_img fpgaigbt 2019-1-23 25443 szczyb1314 2019-1-24 10:48
预览 AD8041ARZ产品特征及说明 attach_img ziliaofenxiang 2019-1-23 05766 ziliaofenxiang 2019-1-23 14:33
已解决 预览 [ALTERA]新起点擦除程序后板子上4个灯还是亮的,表示没擦除吗?接上RGB屏后白屏,这个是板子上有程序导致的?怎么擦,上面不显示? 新人帖 attach_img gzdb 2019-1-21 48388 gzdb 2019-1-22 20:45
已解决 预览 flow_led例程中counter <= counter + 1'b1;可以改成counter <= counter + 24'b1;吗?哪个更好 天山狐狸 2019-1-21 56000 天山狐狸 2019-1-22 12:43
预览 [ALTERA]【视频更新通知】正点原子开拓者FPGA视频更新到99讲,新起点视频更新到70多讲,欢迎免费下载 attach_img 原子哥 2019-1-21 07030 openedvadmin 2019-1-22 11:34
悬赏 预览 [ALTERA]新手刚上了新起点FPGA 软件安装使用问题 新人帖 attach_img 长街有风 2018-12-16 28551 gzdb 2019-1-21 21:13
已解决 预览 STM32+CPLD方案,请问32的时钟引脚能用cpld分频后直接供给吗 244141084 2019-1-19 14923 xzyang 2019-1-20 16:26
已解决 预览 新手求助,quartus调用modelsim仿真时出现Error loading design错误提示 新人帖 attach_img rxb128 2019-1-11 78209 rxb128 2019-1-19 11:46
悬赏 预览 求助 关于FPGA和 STM32 新人帖 timer1 2018-12-13 47634 陈皮皮 2019-1-18 16:09
悬赏 预览 SignaTapII Node finder 栏里面的filter信号是什么意思 attach_img 飞过漠北的蓝天 2019-1-14 15743 QinQZ 2019-1-18 09:11
预览 勇敢的芯伴你玩转Altera FPGA连载103:基于数码管显示的AD采集实例 attach_img rousong1989 2019-1-17 16421 二九结狐六体 2019-1-18 07:28
悬赏 预览 Verilog状态机会增加逻辑资源的占用吗 飞过漠北的蓝天 2019-1-15 26019 飞过漠北的蓝天 2019-1-17 03:11
预览 MC78M12BDTRKG产品特征介绍 attach_img ziliaofenxiang 2019-1-16 05627 ziliaofenxiang 2019-1-16 10:45
已解决 预览 FPGA 和SDRAM等长线 attach_img CQUPT特斯拉 2019-1-11 26256 thinkabout4451 2019-1-15 14:46
预览 1SMB5944BT3G产品特征说明 attach_img ziliaofenxiang 2019-1-15 05625 ziliaofenxiang 2019-1-15 10:23
已解决 预览 请用IP核创建的FIFO是不是占用的逻辑单元?还是片内的存储空间? attach_img 飞过漠北的蓝天 2019-1-14 26511 飞过漠北的蓝天 2019-1-14 20:46
已解决 预览 触摸按键控制led灯实验,一个灯可控制亮灭,其它灯常亮且暗。 attach_img rxb128 2019-1-14 26064 rxb128 2019-1-14 15:45
悬赏 预览 modelsim仿真时有线断开一小节是什么意思? attach_img 飞过漠北的蓝天 2019-1-13 05509 飞过漠北的蓝天 2019-1-13 07:01
已解决 预览 如何去掉 Quartus II 13.0 sp1的提示: do you want to reload the file attach_img 天山狐狸 2019-1-11 36032 天山狐狸 2019-1-11 21:02
已解决 预览 求Chain1.cdf介绍 Soitgoes 2019-1-10 26125 Soitgoes 2019-1-11 14:04
已解决 预览 请问节拍该怎么画? attachment 飞过漠北的蓝天 2019-1-9 35791 飞过漠北的蓝天 2019-1-11 05:05
已解决 预览 什么时候出高速ADC 有个脚落 2019-1-9 25830 有个脚落 2019-1-10 22:43
已解决 预览 Fatfs文件系统f_write处理时间的问题 天涯_1110 2015-9-1 911448 不良苏 2019-1-10 19:08
已解决 预览 求助?tri与wire区别,以及标准的使用方法? donqy 2019-1-9 48766 donqy 2019-1-10 15:49
已解决 预览 ADC采集时接口空闲状态电压为3.61V,接到下面电路电压也无法被拉到零,请问是什么问题??? attach_img imbest 2018-12-27 55705 温沛涛 2019-1-9 17:30
预览 明德扬mp801开发板介绍(大家可以参考下) attach_img 王工1465177751 2019-1-9 05493 王工1465177751 2019-1-9 15:57
预览 合金电阻与贴片电阻的区别 ziliaofenxiang 2019-1-9 04885 ziliaofenxiang 2019-1-9 15:16
预览 SN74HC132N推荐供应商 attach_img ziliaofenxiang 2019-1-9 02232 ziliaofenxiang 2019-1-9 11:31
悬赏 预览 从32那边过来的纯新手,接下来要做CPU+FPGA的结构,请问这个领域是有相关操作系统的吗? wsdkyzd 2019-1-7 35735 QinQZ 2019-1-8 18:04
预览 PC817的检测及测试方法 ziliaofenxiang 2019-1-7 06009 ziliaofenxiang 2019-1-7 15:55
预览 eclipse缺少头文件 attach_img lq826311756 2019-1-5 34385 二九结狐六体 2019-1-7 11:26
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-7-5 06:06

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块