OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4782|回复: 0

verilog中模块之间如何调用寄存器

[复制链接]

16

主题

40

帖子

0

精华

初级会员

Rank: 2

积分
138
金钱
138
注册时间
2016-11-6
在线时间
20 小时
发表于 2017-3-10 17:22:52 | 显示全部楼层 |阅读模式
初学verilog,遇到一个疑问,就是比如:在1.v文件我写了一个top模块,在2.v写了一个module,里面定义了一个寄存器reg,我想在顶层模块调用reg,这个怎么处理?我的想法是在2.v文件中定义了一个output类型的端口out,然后assign out = reg;之后我在top又定义了一个reg,如果不定义的话编译器会报错,这样写有没有问题?求大神指点。。。。

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 01:19

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表