OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2756|回复: 5

求助!无线24l01 谢谢各位大神

[复制链接]

5

主题

20

帖子

0

精华

高级会员

Rank: 4

积分
534
金钱
534
注册时间
2016-7-6
在线时间
58 小时
发表于 2017-2-3 16:55:49 | 显示全部楼层 |阅读模式
10金钱
我想要发送接收一组按键的状态if(NRF24L01_TxPacket(tmp_buf)==TX_OK){
        tmp_buf[0]=pattern;
        tmp_buf[1]=model;   
        tmp_buf[2]=KEY2;  
        tmp_buf[3]=KEY3;  
        tmp_buf[4]=KEY4;  
        tmp_buf[5]=KEY5;  
        tmp_buf[6]=m;
        tmp_buf[7]=pitchz;
        tmp_buf[8]=n;
        tmp_buf[9]=rollz;
        tmp_buf[10]=0;
}
是得把
#define TX_PLOAD_WIDTH  11               
#define RX_PLOAD_WIDTH  11       
改成这样对么 (例程里的程序是32)

还有就是 发送完了需要delay延时么 我在刚才if那个后面延时了50ms 不知道对不对

这块调了好久了都不对 希望大神们帮帮忙 感激不尽~~
       


最佳答案

查看完整内容[请看2#楼]

数据长度可以不改,发送完成会有管脚的跳变,看你如何使用。 我以前用的时候都是放在中断里面处理; 如果你用等待的话就查询管脚跳变。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

8

主题

193

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
303
金钱
303
注册时间
2012-12-19
在线时间
16 小时
发表于 2017-2-3 16:55:50 | 显示全部楼层
数据长度可以不改,发送完成会有管脚的跳变,看你如何使用。
我以前用的时候都是放在中断里面处理;
如果你用等待的话就查询管脚跳变。
回复

使用道具 举报

5

主题

20

帖子

0

精华

高级会员

Rank: 4

积分
534
金钱
534
注册时间
2016-7-6
在线时间
58 小时
 楼主| 发表于 2017-2-3 16:56:35 | 显示全部楼层
@正点原子
原子大哥帮忙看看
回复

使用道具 举报

5

主题

20

帖子

0

精华

高级会员

Rank: 4

积分
534
金钱
534
注册时间
2016-7-6
在线时间
58 小时
 楼主| 发表于 2017-2-5 19:59:13 | 显示全部楼层
有人知道哪不对么??                  
回复

使用道具 举报

5

主题

20

帖子

0

精华

高级会员

Rank: 4

积分
534
金钱
534
注册时间
2016-7-6
在线时间
58 小时
 楼主| 发表于 2017-2-6 14:00:09 | 显示全部楼层
sdwhupk 发表于 2017-2-6 08:00
数据长度可以不改,发送完成会有管脚的跳变,看你如何使用。
我以前用的时候都是放在中断里面处理;
如果 ...

你好 我又调了一下 然后发现
我这个不是按键控制车的运动状态么 现在无线传的按键状态 在车运行的时候改变不了车的运动 但是把车关了再重新打开 就能执行新的按键状态
难道是我这个无线只能传一次么 请问你知道是什么原因么??
回复

使用道具 举报

5

主题

20

帖子

0

精华

高级会员

Rank: 4

积分
534
金钱
534
注册时间
2016-7-6
在线时间
58 小时
 楼主| 发表于 2017-2-6 15:18:14 | 显示全部楼层
sdwhupk 发表于 2017-2-6 08:00
数据长度可以不改,发送完成会有管脚的跳变,看你如何使用。
我以前用的时候都是放在中断里面处理;
如果 ...

我调出来了 改了好多地方 谢谢您嘞
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-30 19:16

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表