OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2646|回复: 2

NRF24L01_Check的问题

[复制链接]

7

主题

29

帖子

0

精华

初级会员

Rank: 2

积分
65
金钱
65
注册时间
2016-10-13
在线时间
16 小时
发表于 2016-11-18 17:06:05 | 显示全部楼层 |阅读模式
10金钱
本帖最后由 雷雷 于 2016-11-18 21:00 编辑

u8 NRF24L01_Check(void)
{
        u8 buf[5]={0XA5,0XA5,0XA5,0XA5,0XA5};
        u8 i;
        SPI2_SetSpeed(SPI_SPEED_4);           
        NRF24L01_Write_Buf(NRF_WRITE_REG+TX_ADDR,buf,5);        
        NRF24L01_Read_Buf(TX_ADDR,buf,5);
        for(i=0;i<5;i++)if(buf!=0XA5)break;                                                                    
        if(i!=5)return 1;
        return 0;               
}        

u8 NRF24L01_Write_Buf(u8 reg, u8 *pBuf, u8 len)
{
        u8 status,u8_ctr;            
        NRF24L01_CSN = 0;        
          status = SPI2_ReadWriteByte(reg);
          for(u8_ctr=0; u8_ctr<len; u8_ctr++)SPI2_ReadWriteByte(*pBuf++);  
          NRF24L01_CSN = 1;   
          return status;         
}                                   

u8 NRF24L01_Read_Buf(u8 reg,u8 *pBuf,u8 len)
{
        u8 status,u8_ctr;               
          NRF24L01_CSN = 0;           
          status=SPI2_ReadWriteByte(reg);   
        for(u8_ctr=0;u8_ctr<len;u8_ctr++)pBuf[u8_ctr]=SPI2_ReadWriteByte(0XFF);
          NRF24L01_CSN=1;     
          return status;      
}


SPI通信不是写一个数据,然后要读出一个数据吗??这样写,为何前面的4个0X5A不会丢掉呢??能连续写入5个?然后他用pBuf[u8_ctr]=SPI2_ReadWriteByte(0XFF);读出存进去的数据,这个数据5次出来为何都是0X5A?  当然肯定是在第一个问题的基础上才有第二个问题,那么如果能连续不丢失的写入,那么根据读一个数据,出来一个数据,怎么保证这个地址已经存满了,然后输入0XFF一个个把数据移位出来

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

7

主题

29

帖子

0

精华

初级会员

Rank: 2

积分
65
金钱
65
注册时间
2016-10-13
在线时间
16 小时
 楼主| 发表于 2016-11-18 17:55:09 | 显示全部楼层
回复

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165051
金钱
165051
注册时间
2010-12-1
在线时间
2103 小时
发表于 2016-11-21 23:04:57 | 显示全部楼层
帮顶
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-30 19:27

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表