OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6994|回复: 1

Xilinx FPGA入门连载45:FPGA片内ROM实例之功能仿真

[复制链接]

431

主题

438

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1866
金钱
1866
注册时间
2014-7-19
在线时间
50 小时
发表于 2016-1-11 12:08:57 | 显示全部楼层 |阅读模式
Xilinx FPGA入门连载45FPGA片内ROM实例之功能仿真
特权同学,版权所有
配套例程和更多资料下载链接:
1.jpg

1 Xilinx库设置
         打开文件夹sp6ex17下的ISE工程。
如图所示,切换到“Design à Simulation”界面。鼠标选中“vtf_sp6.v”文件。
2.jpg
         此时,在“Processer:vtf_sp6”下,选择“SimulateBehavioral Model”,然后点击鼠标右键,弹出菜单中选择“ProcessProperties…”。
3.jpg
         如图所示,确认设置好在安装Modelsim过程中编译好的ISELibrary路径。设定完成后点击“OK”回到ISE主界面。
4.jpg

2 功能仿真
         如图所示,双击“Simulate Behavioral Model”开始仿真。
5.jpg
         接着,Modelsim中我们可以查看读ROM的波形。
6.jpg
         这里需要注意,rom_addr出现新地址时,rom_data对应的数据要延时一个时钟周期才会出现。以最后一个图为例,当rom_addr = 0x01时,rom_data对应的数据时0x22,比地址出现晚一个时钟周期。





正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

256

主题

1376

帖子

12

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
7575
金钱
7575
注册时间
2015-10-15
在线时间
2780 小时
发表于 2016-1-12 13:43:55 | 显示全部楼层
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-28 23:56

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表