OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 3706|回复: 2

关于STM8L单片机的定时器模拟串口的问题求教

[复制链接]

1

主题

2

帖子

0

精华

初级会员

Rank: 2

积分
56
金钱
56
注册时间
2015-12-23
在线时间
5 小时
发表于 2015-12-23 10:31:06 | 显示全部楼层 |阅读模式
5金钱
最近本人在做关于stm8l101f3p6这款单片机的模拟串口的问题,搞了好几天了还是没什么头绪,求大神们指点啊,如果有demo程序可以参考就更好了.谢谢各位了,很急!

得不到的才能显示你的能力。。。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

530

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165186
金钱
165186
注册时间
2010-12-1
在线时间
2106 小时
发表于 2015-12-24 22:24:03 | 显示全部楼层
我是开源电子网www.openedv.com站长,有关站务问题请与我联系。
正点原子STM32开发板购买店铺http://openedv.taobao.com
正点原子官方微信公众平台,点击这里关注“正点原子”
回复

使用道具 举报

17

主题

59

帖子

0

精华

初级会员

Rank: 2

积分
161
金钱
161
注册时间
2014-4-16
在线时间
5 小时
发表于 2016-4-3 22:09:09 | 显示全部楼层
这个采用IO口外部中断+一个定时器,网上有很多例子
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-9-29 07:24

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表