OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 11564|回复: 4

LCD实验碰到的无法解决的问题

[复制链接]

5

主题

31

帖子

0

精华

初级会员

Rank: 2

积分
71
金钱
71
注册时间
2012-4-20
在线时间
0 小时
发表于 2012-6-26 10:49:39 | 显示全部楼层 |阅读模式
我用VHDL语言写一个LCD屏的内容显示,在max-plusII软件上调试。结果在下面程序段出错:
出错一:
  1. <br />
  2. architecture contral of LCD is<br />
  3. ......<br />
  4. &nbsp;type Ram is array(0 to 15) of std_logic_vector(7 downto 0);<br />
  5. &nbsp;&nbsp; constant MyRamUp:Ram:=(x"46",x"68",x"69",x"73",x"20",x"49",x"73",x"20",x"4d",x"79",x"20",x"46",x"69",x"72",x"73",x"74"); <br />
  6. &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; --This&nbsp;&nbsp; Is My First <br />
  7. &nbsp;&nbsp; constant MyRamDown:Ram:=(x"20",x"20",x"46",x"50",x"47",x"41",x"20",x"50",x"72",x"6f",x"67",x"72",x"61",x"6d",x"20",x"20"); <br />
  8. &nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; --FPGA Program<br />
  9. &nbsp;&nbsp; signal&nbsp;&nbsp; LCD_Clk : std_logic :='0';<br />
  10. &nbsp;&nbsp; signal&nbsp;&nbsp; datacnt : integer range 0 to 15; <br />
  11. begin<br />
  12. .......<br />
复制代码
其中以上代码从27行开始,错误提示为
Errorine 28:File e:\fpga:Unsupported feature error:aggregates are supported only for types that map to an array of bits.
本人根本不知道如何解决。百度下发现有我那样应用的带买看到错。有错的,也没有人解决。就看到说好像是软件不支持。求帮助!

出错二:
  1. signal&nbsp;&nbsp; datacnt : integer range 0 to 15:=1;
复制代码
错误提示:VHDL语法错误,expected an integer value.说要一个整数值,试过:=‘1’,但错了!不知道怎么改。目前是投机取巧不赋值。希望得到帮助!

主要是第一个问题,急死人了!!
无处不在
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165001
金钱
165001
注册时间
2010-12-1
在线时间
2102 小时
发表于 2012-6-26 11:15:35 | 显示全部楼层
我是开源电子网www.openedv.com站长,有关站务问题请与我联系。
正点原子STM32开发板购买店铺http://openedv.taobao.com
正点原子官方微信公众平台,点击这里关注“正点原子”
回复 支持 反对

使用道具 举报

17

主题

85

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
365
金钱
365
注册时间
2012-6-20
在线时间
99 小时
发表于 2012-6-27 10:11:05 | 显示全部楼层
bit_vector试试

type Ram is array(0 to 15) of bit_vector(7 downto 0);
回复 支持 反对

使用道具 举报

5

主题

31

帖子

0

精华

初级会员

Rank: 2

积分
71
金钱
71
注册时间
2012-4-20
在线时间
0 小时
 楼主| 发表于 2012-10-24 23:23:50 | 显示全部楼层
回复【3楼】MY40130064:
---------------------------------
好早的问题了,突然想起来。
这个问题已经确认是软件问题,max-plusII过于古老。回复下,让后来人看到了少走些弯路
无处不在
回复 支持 反对

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165001
金钱
165001
注册时间
2010-12-1
在线时间
2102 小时
发表于 2012-10-24 23:38:26 | 显示全部楼层
这么久还记得,神了...
我是开源电子网www.openedv.com站长,有关站务问题请与我联系。
正点原子STM32开发板购买店铺http://openedv.taobao.com
正点原子官方微信公众平台,点击这里关注“正点原子”
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-25 15:07

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表