OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 285|回复: 3

[XILINX] ZYNQ领航者流水灯例程if语句

[复制链接]

1

主题

7

帖子

0

精华

新手上路

积分
36
金钱
36
注册时间
2023-4-6
在线时间
11 小时
发表于 2024-8-28 21:14:06 | 显示全部楼层 |阅读模式
1金钱
本帖最后由 Islre 于 2024-8-28 21:25 编辑

1.png 2.png


如上图交换if和else if的顺序,下载程序后led灯不亮,我自己理解了一下感觉都是对的,跑仿真也是对的,求大神解答。


下图为完整代码

3.png 4.png

最佳答案

查看完整内容[请看2#楼]

if ...else if...假如当它是C语言,交换条件后,在特殊情况下效果是有区别的,比如两个条件同时成立,就只执行前面的。 但在这里,看起来交换一下也是差不多的。 但你这里不只是交换条件,还改了执行内容,把取反改成了交换高低位。 假如高低位都一样,那交换后也是一样的,所以可能就不会闪烁。 需检查sys_rst_n是否有复位。 verilog似乎也可以定义变量时赋初值,可以利用一下,估计可以省去复位脚; 如 reg [1:0] led = 2' ...
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

10

主题

3226

帖子

1

精华

论坛元老

Rank: 8Rank: 8

积分
8067
金钱
8067
注册时间
2020-5-11
在线时间
3563 小时
发表于 2024-8-28 21:14:07 | 显示全部楼层
本帖最后由 LcwSwust 于 2024-8-29 10:32 编辑

if ...else if...假如当它是C语言,交换条件后,在特殊情况下效果是有区别的,比如两个条件同时成立,就只执行前面的。
但在这里,看起来交换一下也是差不多的。
但你这里不只是交换条件,还改了执行内容,把取反改成了交换高低位。
假如高低位都一样,那交换后也是一样的,所以可能就不会闪烁。
需检查sys_rst_n是否有复位。
verilog似乎也可以定义变量时赋初值,可以利用一下,估计可以省去复位脚;
如 reg [1:0] led = 2'b01;

专治疑难杂症
回复

使用道具 举报

1

主题

7

帖子

0

精华

新手上路

积分
36
金钱
36
注册时间
2023-4-6
在线时间
11 小时
 楼主| 发表于 2024-9-3 09:49:01 | 显示全部楼层
LcwSwust 发表于 2024-8-28 21:14
if ...else if...假如当它是C语言,交换条件后,在特殊情况下效果是有区别的,比如两个条件同时成立,就只 ...

赋初值然后删除else if(!sys_rst_n)那一句可以运行,但是还是没有理解为什么else if(!sys_rst_n)放在后面系统不能运行,led的高低位应该也是不一样的。
回复

使用道具 举报

10

主题

3226

帖子

1

精华

论坛元老

Rank: 8Rank: 8

积分
8067
金钱
8067
注册时间
2020-5-11
在线时间
3563 小时
发表于 2024-9-3 10:16:08 | 显示全部楼层
Islre 发表于 2024-9-3 09:49
赋初值然后删除else if(!sys_rst_n)那一句可以运行,但是还是没有理解为什么else if(!sys_rst_n)放在后面 ...

若不能运行,你监测一下LED值、cnt、sys_rst_n值,利用串口发出来或IO口输出。
专治疑难杂症
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-9-27 06:54

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表