OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 261|回复: 4

[XILINX] 关于达芬奇pro的ov5640摄像头显示中ddr3读写求助

[复制链接]

1

主题

3

帖子

0

精华

新手入门

积分
13
金钱
13
注册时间
2024-2-22
在线时间
2 小时
发表于 2024-4-4 00:06:56 | 显示全部楼层 |阅读模式
2金钱
在看达芬奇pro第四十三章 OV5640 摄像头RGB-LCD 显示实验,ddr3读写模块有处代码有疑问。如下图,这段代码标注“当rfifo存储数据少于一次突发长度时,并且ddr已经写入了1帧数据”,但是代码没有这方面的设计,仿真导致rfifo_wcount在还没数据写入ddr3前就开始计数了,跳到读操作,结果会产生错误数据。想请教下这部分内容有人知道怎么操作吗 1712160336291.jpg

最佳答案

查看完整内容[请看2#楼]

这里确实没有体现写入一帧数据这部分,那个ddr3_read_valid一直是1,楼主上面说的跳到读操作结果产生错误数据这个是因为在初始化完成后处于DDR3_DONE状态时,此时读写fifo均无数据,但ddr3读使能,也就是ddr3_read_valid一直为1,所以先进入读状态,从ddr3中读数据到读fifo中,这样做是为了保证读fifo一直有数据,避免读空,第一次读的数据确实是错误数据,详细的可以看下ddr3读写实验的ddr测试数据模块那一小节,里面有详细讲解 ...
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

0

主题

23

帖子

0

精华

新手上路

积分
47
金钱
47
注册时间
2023-6-30
在线时间
7 小时
发表于 2024-4-4 00:06:57 | 显示全部楼层
doudouqi 发表于 2024-4-7 17:29
不是的,这里没有改动,这个是按照参考文件拷贝过来的。源代码就只有标记这一段话提示已写入了1帧数据, ...

这里确实没有体现写入一帧数据这部分,那个ddr3_read_valid一直是1,楼主上面说的跳到读操作结果产生错误数据这个是因为在初始化完成后处于DDR3_DONE状态时,此时读写fifo均无数据,但ddr3读使能,也就是ddr3_read_valid一直为1,所以先进入读状态,从ddr3中读数据到读fifo中,这样做是为了保证读fifo一直有数据,避免读空,第一次读的数据确实是错误数据,详细的可以看下ddr3读写实验的ddr测试数据模块那一小节,里面有详细讲解,在ddr3读写测试里面有舍弃第一次读的数据拿第二次读的数据进行比较,这里OV5640摄像头LCD显示没处理可能是因为就一点数据不影响显示就没管,如果要处理的话我觉得可以参考下ddr读写实验里面的ddr测试数据模块,将第一次读到的数据舍弃掉
回复

使用道具 举报

0

主题

23

帖子

0

精华

新手上路

积分
47
金钱
47
注册时间
2023-6-30
在线时间
7 小时
发表于 2024-4-7 10:01:56 | 显示全部楼层
你说的代码没有这方面的设计是指改动代码把这个else if块去掉了导致数据出问题吗
回复

使用道具 举报

1

主题

3

帖子

0

精华

新手入门

积分
13
金钱
13
注册时间
2024-2-22
在线时间
2 小时
 楼主| 发表于 2024-4-7 17:29:16 | 显示全部楼层
xige 发表于 2024-4-7 10:01
你说的代码没有这方面的设计是指改动代码把这个else if块去掉了导致数据出问题吗

不是的,这里没有改动,这个是按照参考文件拷贝过来的。源代码就只有标记这一段话提示已写入了1帧数据,但是代码里面没看到有这方面的代码内容
回复

使用道具 举报

1

主题

3

帖子

0

精华

新手入门

积分
13
金钱
13
注册时间
2024-2-22
在线时间
2 小时
 楼主| 发表于 2024-4-8 17:37:12 | 显示全部楼层
xige 发表于 2024-4-8 10:04
这里确实没有体现写入一帧数据这部分,那个ddr3_read_valid一直是1,楼主上面说的跳到读操作结果产生错误 ...

好的,解释的非常清晰,谢谢哈
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-29 07:56

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表