OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 160|回复: 0

ZYNQ 启明星开发板 QSPI启动失败 小白求解

[复制链接]

1

主题

1

帖子

0

精华

新手入门

积分
6
金钱
6
注册时间
2023-11-27
在线时间
0 小时
发表于 2024-3-29 14:41:27 | 显示全部楼层 |阅读模式
报错如下,本人是搞硬件的,对这个不太懂,只想让他按照文档《启明星开发板用户快速体验》内的第一章进行正常运行。
▒!Bii!▒▒JR▒▒▒▒

U-Boot 2018.01 (Oct 28 2020 - 20:18:30 -0700) Xilinx Zynq ZC702

Board: Xilinx Zynq
Silicon: v3.1
DRAM:  ECC disabled 512 MiB
MMC:   Card did not respond to voltage select!
mmc_init: -95, time 22
sdhci_transfer_data: Error detected in status(0x208000)!
Card did not respond to voltage select!
mmc_init: -95, time 23
mmc@e0100000 - probe failed: -95
Card did not respond to voltage select!
mmc_init: -95, time 24

SF: Detected w25q256 with page size 256 Bytes, erase size 4 KiB, total 32 MiB
*** Warning - bad CRC, using default environment

In:    serial@e0000000
Out:   serial@e0000000
Err:   serial@e0000000
Net:   ZYNQ GEM: e000b000, phyaddr 1, interface rgmii-id
eth0: ethernet@e000b000
Hit any key to stop autoboot:  0
Copying Linux from QSPI flash to RAM...
SF: Detected w25q256 with page size 256 Bytes, erase size 4 KiB, total 32 MiB
device 0 offset 0x120000, size 0x400000
SF: 4194304 bytes @ 0x120000 Read: OK
  design filename = "phosphor_7010_wrapper;UserID=0XFFFFFFFF;Version=2018.3"
  part number = "7z010clg400"
  date = "2020/09/11"
  time = "15:56:32"
  bytes in bitstream = 2083740
zynq_align_dma_buffer: Align buffer at 100075 to fff80(swap 1)
device 0 offset 0x540000, size 0x500000
SF: 5242880 bytes @ 0x540000 Read: OK
device 0 offset 0x520000, size 0x20000
SF: 131072 bytes @ 0x520000 Read: OK
## Flattened Device Tree blob at 02000000
   Booting using the fdt blob at 0x2000000
   Loading Device Tree to 1eb13000, end 1eb1a9c3 ... OK
axi_gpio <addr:0x41200000>
Alientek LCD ID: 0

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Linux version 4.14.0-xilinx (zynq@ubuntu) (gcc version 7.3.1 20180314 (Linaro GCC 7.3-2018.04-rc3)) #1 SMP PREEMPT Wed Oct 28 18:58:50 PDT 2020
[    0.000000] CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
[    0.000000] CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
[    0.000000] OF: fdt: Machine model: Alientek Phosphor Zynq Development Board
[    0.000000] Memory policy: Data cache writealloc
[    0.000000] cma: Reserved 256 MiB at 0x0e800000
[    0.000000] random: fast init done
[    0.000000] percpu: Embedded 16 pages/cpu @dfb48000 s34764 r8192 d22580 u65536
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 130048
[    0.000000] Kernel command line: console=ttyPS0,115200 earlyprintk root=/dev/mmcblk1p1 rw rootwait
[    0.000000] PID hash table entries: 2048 (order: 1, 8192 bytes)
[    0.000000] Dentry cache hash table entries: 65536 (order: 6, 262144 bytes)
[    0.000000] Inode-cache hash table entries: 32768 (order: 5, 131072 bytes)
[    0.000000] Memory: 246756K/524288K available (6144K kernel code, 256K rwdata, 1700K rodata, 1024K init, 165K bss, 15388K reserved, 262144K cma-reserved, 0K highmem)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     vector  : 0xffff0000 - 0xffff1000   (   4 kB)
[    0.000000]     fixmap  : 0xffc00000 - 0xfff00000   (3072 kB)
[    0.000000]     vmalloc : 0xe0800000 - 0xff800000   ( 496 MB)
[    0.000000]     lowmem  : 0xc0000000 - 0xe0000000   ( 512 MB)
[    0.000000]     pkmap   : 0xbfe00000 - 0xc0000000   (   2 MB)
[    0.000000]     modules : 0xbf000000 - 0xbfe00000   (  14 MB)
[    0.000000]       .text : 0xc0008000 - 0xc0700000   (7136 kB)
[    0.000000]       .init : 0xc0900000 - 0xc0a00000   (1024 kB)
[    0.000000]       .data : 0xc0a00000 - 0xc0a40340   ( 257 kB)
[    0.000000]        .bss : 0xc0a40340 - 0xc0a69a6c   ( 166 kB)
[    0.000000] Preemptible hierarchical RCU implementation.
[    0.000000]  RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
[    0.000000]  Tasks RCU enabled.
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
[    0.000000] NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
[    0.000000] efuse mapped to e0800000
[    0.000000] slcr mapped to e0802000
[    0.000000] L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
[    0.000000] L2C-310 erratum 769419 enabled
[    0.000000] L2C-310 enabling early BRESP for Cortex-A9
[    0.000000] L2C-310 full line of zeros enabled for Cortex-A9
[    0.000000] L2C-310 ID prefetch enabled, offset 1 lines
[    0.000000] L2C-310 dynamic clock gating enabled, standby mode enabled
[    0.000000] L2C-310 cache controller enabled, 8 ways, 512 kB
[    0.000000] L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
[    0.000000] zynq_clock_init: clkc starts at e0802100
[    0.000000] Zynq clock init
[    0.000010] sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
[    0.000032] clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
[    0.000061] Switching to timer-based delay loop, resolution 3ns
[    0.000161] clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
[    0.000198] timer #0 at e080a000, irq=17
[    0.000760] Console: colour dummy device 80x30
[    0.000784] Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
[    0.000802] pid_max: default: 32768 minimum: 301
[    0.000940] Mount-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.000956] Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes)
[    0.001496] CPU: Testing write buffer coherency: ok
[    0.001696] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
[    0.040352] Setting up static identity map for 0x100000 - 0x100060
[    0.060312] Hierarchical SRCU implementation.
[    0.100312] smp: Bringing up secondary CPUs ...
[    0.240667] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
[    0.240778] smp: Brought up 1 node, 2 CPUs
[    0.240797] SMP: Total of 2 processors activated (1333.33 BogoMIPS).
[    0.240806] CPU: All CPU(s) started in SVC mode.
[    0.241707] devtmpfs: initialized
[    0.245691] VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
[    0.246017] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[    0.246041] futex hash table entries: 512 (order: 3, 32768 bytes)
[    0.258554] pinctrl core: initialized pinctrl subsystem
[    0.259652] NET: Registered protocol family 16
[    0.262265] DMA: preallocated 256 KiB pool for atomic coherent allocations
[    0.263512] cpuidle: using governor menu
[    0.272282] hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
[    0.272298] hw-breakpoint: maximum watchpoint size is 4 bytes.
[    0.272422] zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xe0840000
[    0.272806] zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
[    0.273285] e0000000.serial: ttyPS0 at MMIO 0xe0000000 (irq = 27, base_baud = 6249999) is a xuartps
[    0.739369] console [ttyPS0] enabled
[    0.761354] XGpio: /amba_pl/gpio@41200000: registered, base is 1021
[    0.769238] vgaarb: loaded
[    0.772302] SCSI subsystem initialized
[    0.776294] usbcore: registered new interface driver usbfs
[    0.781876] usbcore: registered new interface driver hub
[    0.787264] usbcore: registered new device driver usb
[    0.793657] media: Linux media interface: v0.10
[    0.798238] Linux video capture interface: v2.00
[    0.802955] pps_core: LinuxPPS API ver. 1 registered
[    0.807914] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    0.817094] PTP clock support registered
[    0.821083] EDAC MC: Ver: 3.0.0
[    0.831225] FPGA manager framework
[    0.834952] fpga-region fpga-full: FPGA Region probed
[    0.840211] Advanced Linux Sound Architecture Driver Initialized.
[    0.847658] clocksource: Switched to clocksource arm_global_timer
[    0.863015] NET: Registered protocol family 2
[    0.868082] TCP established hash table entries: 4096 (order: 2, 16384 bytes)
[    0.875172] TCP bind hash table entries: 4096 (order: 3, 32768 bytes)
[    0.881751] TCP: Hash tables configured (established 4096 bind 4096)
[    0.888197] UDP hash table entries: 256 (order: 1, 8192 bytes)
[    0.894039] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes)
[    0.900499] NET: Registered protocol family 1
[    0.905232] RPC: Registered named UNIX socket transport module.
[    0.911183] RPC: Registered udp transport module.
[    0.915874] RPC: Registered tcp transport module.
[    0.920596] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    0.927533] hw perfevents: no interrupt-affinity property for /pmu@f8891000, guessing.
[    0.935656] hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
[    0.945324] workingset: timestamp_bits=30 max_order=17 bucket_order=0
[    0.952430] jffs2: version 2.2. (NAND) (SUMMARY)  &#169; 2001-2006 Red Hat, Inc.
[    0.962952] io scheduler noop registered
[    0.966870] io scheduler deadline registered
[    0.971304] io scheduler cfq registered (default)
[    0.976003] io scheduler mq-deadline registered
[    0.980553] io scheduler kyber registered
[    0.984988] pwm_clk=99999999  period_min_ns=10
[    0.992265] dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
[    0.999003] dma-pl330 f8003000.dmac:         DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
[    1.007963] xilinx-vdma 43010000.dma: Xilinx AXI VDMA Engine Driver Probed!!
[    1.015504] xilinx-vdma 43000000.dma: Xilinx AXI VDMA Engine Driver Probed!!
[    1.023843] xilinx-vdmafb amba_pl:xlnx_vdmafb_lcd: Alientek LCD ID: 0
[    1.061910] Console: switching to colour frame buffer device 60x34
[    1.071708] period=500000  period=500000
[    1.075754] xilinx-vdmafb amba_pl:xlnx_vdmafb_hdmi: Alientek LCD ID: 1
[    1.135880] brd: module loaded
[    1.144213] loop: module loaded
[    1.149894] m25p80 spi0.0: failed to read ear reg
[    1.154606] m25p80 spi0.0: w25q256 (32768 Kbytes)
[    1.159378] 6 ofpart partitions found on MTD device spi0.0
[    1.164852] Creating 6 MTD partitions on "spi0.0":
[    1.169668] 0x000000000000-0x000000100000 : "boot"
[    1.175316] 0x000000100000-0x000000120000 : "bootenv"
[    1.181266] 0x000000120000-0x000000520000 : "bitstream"
[    1.187268] 0x000000520000-0x000000540000 : "device-tree"
[    1.193539] 0x000000540000-0x000000a40000 : "kernel"
[    1.199400] 0x000000a40000-0x000002000000 : "space"
[    1.206132] libphy: Fixed MDIO Bus: probed
[    1.212495] CAN device driver interface
[    1.217555] libphy: MACB_mii_bus: probed
[    1.222098] macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 29 (00:0a:35:00:01:22)
[    1.231980] RTL8211E Gigabit Ethernet e000b000.ethernet-ffffffff:01: attached PHY driver [RTL8211E Gigabit Ethernet] (mii_bus:phy_addr=e000b000.ethernet-ffffffff:01, irq=POLL)
[    1.248166] e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
[    1.254003] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
[    1.261127] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    1.267687] ehci-pci: EHCI PCI platform driver
[    1.272257] usbcore: registered new interface driver usb-storage
[    1.278538] chipidea-usb2 e0002000.usb: e0002000.usb supply vbus not found, using dummy regulator
[    1.287627] ULPI transceiver vendor/product ID 0x0424/0x0007
[    1.293322] Found SMSC USB3320 ULPI transceiver.
[    1.297968] ULPI integrity check: passed.
[    1.312528] ci_hdrc ci_hdrc.0: EHCI Host Controller
[    1.317421] ci_hdrc ci_hdrc.0: new USB bus registered, assigned bus number 1
[    1.347688] ci_hdrc ci_hdrc.0: USB 2.0 started, EHCI 1.00
[    1.353771] hub 1-0:1.0: USB hub found
[    1.357559] hub 1-0:1.0: 1 port detected
[    1.364081] input: beep as /devices/soc0/beep/input/input0
[    1.369896] i2c /dev entries driver
[    1.374783] at24 0-0050: 8192 byte 24c64 EEPROM, writable, 32 bytes/write
[    1.383773] rtc-pcf8563 0-0051: low voltage detected, date/time is not reliable.
[    1.391363] rtc-pcf8563 0-0051: rtc core: registered rtc-pcf8563 as rtc0
[    1.399003] cdns-i2c e0004000.i2c: 100 kHz mmio e0004000 irq 23
[    1.405673] edt_ft5426 1-0038: Alientek LCD ID: 0
[    1.507814] edt_ft5426 1-0038: edt_ft5426_ts_write: write error, addr=0x0 len=1.
[    1.515228] edt_ft5426: probe of 1-0038 failed with error -1
[    1.521115] goodix-gt9xx 1-0014: Alientek LCD ID: 0
[    1.717816] goodix-gt9xx 1-0014: goodix_gt9xx_ts_read: read error, addr=0x8140 len=4.
[    1.725664] goodix-gt9xx: probe of 1-0014 failed with error -1
[    1.731561] cdns-i2c e0005000.i2c: 100 kHz mmio e0005000 irq 24
[    1.907831] ov5640 2-003c: ov5640_read_reg: error: addr=0x300a
[    1.937695] ov5640: probe of 2-003c failed with error -5
[    1.943161] IR NEC protocol handler initialized
[    1.947715] IR RC5(x/sz) protocol handler initialized
[    1.952765] IR RC6 protocol handler initialized
[    1.957283] IR JVC protocol handler initialized
[    1.961829] IR Sony protocol handler initialized
[    1.966449] IR SANYO protocol handler initialized
[    1.971162] IR Sharp protocol handler initialized
[    1.975859] IR MCE Keyboard/mouse protocol handler initialized
[    1.981712] IR XMP protocol handler initialized
[    1.986644] xilinx-video amba_pl:xlnx_vipp: device registered
[    1.994379] cdns-wdt f8005000.watchdog: Xilinx Watchdog Timer at e090a000 with timeout 10s
[    2.003054] EDAC MC: ECC not enabled
[    2.006818] Xilinx Zynq CpuIdle Driver started
[    2.011744] sdhci: Secure Digital Host Controller Interface driver
[    2.017947] sdhci: Copyright(c) Pierre Ossman
[    2.022296] sdhci-pltfm: SDHCI platform and OF driver helper
[    2.097683] mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
[    2.157725] mmc1: SDHCI controller on e0101000.mmc [e0101000.mmc] using ADMA
[    2.166107] ledtrig-cpu: registered to indicate activity on CPUs
[    2.172413] usbcore: registered new interface driver usbhid
[    2.179041] usbhid: USB HID core driver
[    2.186960] fpga_manager fpga0: Xilinx Zynq FPGA Manager registered
[    2.195513] NET: Registered protocol family 10
[    2.211094] Segment Routing with IPv6
[    2.214818] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    2.221431] NET: Registered protocol family 17
[    2.225890] can: controller area network core (rev 20170425 abi 9)
[    2.232200] NET: Registered protocol family 29
[    2.236659] can: raw protocol (rev 20170425)
[    2.240966] can: broadcast manager protocol (rev 20170425 t)
[    2.246637] can: netlink gateway (rev 20170425) max_hops=1
[    2.252466] Registering SWP/SWPB emulation handler
[    2.258811] input: keys as /devices/soc0/keys/input/input1
[    2.265861] rtc-pcf8563 0-0051: low voltage detected, date/time is not reliable.
[    2.273308] rtc-pcf8563 0-0051: hctosys: unable to read the hardware clock
[    2.280207] of_cfs_init
[    2.282735] of_cfs_init: OK
[    2.285719] mmc1: new high speed MMC card at address 0001
[    2.286366] ALSA device list:
[    2.286369]   No soundcards found.
[    2.297972] Waiting for root device /dev/mmcblk1p1...
[    2.297992] mmcblk1: mmc1:0001 8GTF4R 7.28 GiB
[    2.303112] mmcblk1boot0: mmc1:0001 8GTF4R partition 1 4.00 MiB
[    2.307731] mmcblk1boot1: mmc1:0001 8GTF4R partition 2 4.00 MiB
[    2.313761] mmcblk1rpmb: mmc1:0001 8GTF4R partition 3 512 KiB
[    2.325607]  mmcblk1: p1
[    2.351612] FAT-fs (mmcblk1p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
[    2.361595] VFS: Mounted root (vfat filesystem) on device 179:1.
[    2.367879] devtmpfs: error mounting -2
[    2.374455] Freeing unused kernel memory: 1024K
[    2.380022] Kernel panic - not syncing: No working init found.  Try passing init= option to kernel. See Linux Documentation/admin-guide/init.rst for guidance.
[    2.394189] CPU0: stopping
[    2.396898] CPU: 0 PID: 0 Comm: swapper/0 Not tainted 4.14.0-xilinx #1
[    2.403418] Hardware name: Xilinx Zynq Platform
[    2.407979] [<c010e764>] (unwind_backtrace) from [<c010a98c>] (show_stack+0x10/0x14)
[    2.415727] [<c010a98c>] (show_stack) from [<c06c7fe8>] (dump_stack+0x80/0xa0)
[    2.422951] [<c06c7fe8>] (dump_stack) from [<c010ceb8>] (ipi_cpu_stop+0x3c/0x70)
[    2.430344] [<c010ceb8>] (ipi_cpu_stop) from [<c010d6d8>] (handle_IPI+0x64/0x84)
[    2.437738] [<c010d6d8>] (handle_IPI) from [<c0101420>] (gic_handle_irq+0x84/0x90)
[    2.445307] [<c0101420>] (gic_handle_irq) from [<c010b3cc>] (__irq_svc+0x6c/0xa8)
[    2.452783] Exception stack(0xc0a01f18 to 0xc0a01f60)
[    2.457827] 1f00:                                                       00000000 00000000
[    2.466000] 1f20: 1f209000 00000000 dfb4f200 c0a35398 dfb4f200 00000000 00000000 8e7a1047
[    2.474177] 1f40: 8eb4583d 00000000 fffffff5 c0a01f68 c05066dc c0506704 60000113 ffffffff
[    2.482357] [<c010b3cc>] (__irq_svc) from [<c0506704>] (cpuidle_enter_state+0xf0/0x1cc)
[    2.490360] [<c0506704>] (cpuidle_enter_state) from [<c0149524>] (do_idle+0x148/0x1a8)
[    2.498273] [<c0149524>] (do_idle) from [<c01496bc>] (cpu_startup_entry+0x18/0x1c)
[    2.505851] [<c01496bc>] (cpu_startup_entry) from [<c0900bbc>] (start_kernel+0x304/0x364)
[    2.514031] ---[ end Kernel panic - not syncing: No working init found.  Try passing init= option to kernel. See Linux Documentation/admin-guide/init.rst for guidance.



正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-14 16:57

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表