OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 551|回复: 0

《DFZU2EG_4EV MPSoC开发板之嵌入式Linux 驱动开发指南》 第六章 Petalinux设计流程实战(上)

[复制链接]

1061

主题

1072

帖子

2

精华

超级版主

Rank: 8Rank: 8

积分
4407
金钱
4407
注册时间
2019-5-8
在线时间
1196 小时
发表于 2024-1-25 10:51:49 | 显示全部楼层 |阅读模式
本帖最后由 正点原子运营 于 2024-1-25 10:51 编辑

第六章 Petalinux设计流程实战
1)实验平台:正点原子 DFZU2EG_4EV MPSoC开发板

2) 章节摘自【正点原子】DFZU2EG_4EV MPSoC开发板之嵌入式Linux 驱动开发指南 V1.0

3)购买链接:https://detail.tmall.com/item.htm?id=692450874670

4)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/fpga/zdyz-MPSOC.html

5)正点原子官方B站:https://space.bilibili.com/394620890

6)Linux技术交流QQ群:887820935

155537c2odj87vz1z9vj6l.jpg

155537nfqovl2gg9faaol9.png

PetaLinux工具提供了在Xilinx处理系统上自定义、构建和部署嵌入式Linux解决方案所需的一切。该解决方案旨在提高设计生产力,可与Xilinx硬件设计工具一起使用,以简化针对Zynq SoC的Linux系统的开发。本章我们以使用Petalinux定制Linux系统为例,实战Petalinux的设计流程,看下Petalinux如何简化Linux系统的开发。

6.1 ZynqUltraScale+ MPSoC嵌入式软件栈概述
要想在Zynq UltraScale+ MPSoC中搭建运行Linux,我们需要先简单的了解下其嵌入式软件栈,如下图所示:                              
image001.png
图 6.1.1 Zynq UltraScale+ MPSoC嵌入式软件栈
在Zynq UltraScale+ MPSoC设备中,有一个BootROM用于设备的初始启动。配置和安全部件CSU(Configuration and Security Unit)处理器使用BootROM中的代码。在这个配置阶段,BootROM(CSU ROM代码的一部分)解释引导头部(bootheader)来配置系统,并以安全或者非安全引导模式将处理系统(PS,processingsystem)的第一阶段引导加载程序(FSBL,first-stage boot loader)代码加载到片上RAM(OCM,on-chip RAM)中。引导头部定义了许多引导参数,包括安全模式和应该执行FSBL的处理器。引导头部参数可以在Zynq UltraScale+器件手册UG1085中找到。在启动过程中,CSU还将PMU用户固件(PMU FW)加载到PMU RAM中,与PMU ROM一起提供平台管理服务。对于基于xilinx的FSBL和系统软件,大多数系统中都必须有PMU FW。在PMU固件加载前加载FBSL是默认配置。有些系统会切换顺序,首先加载PMU固件。
1)       FSBL
FSBL是Zynq UltraScale + MPSoC的第一阶段引导加载程序,由BootROM引导加载到片上RAM中并启动,用于配置FPGA(使用bitstream,如果存在的话)和从非易失性Flash(SD/eMMC/NAND/QSPI)加载裸机镜像或者操作系统的第二阶段引导加载程序镜像到内存(DDR/OCM)。
2)       Platform Management Unit (PMU)Firmware
PMU控制系统的上电、复位和监控系统内的资源。Zynq MPSoC中的平台管理单元(PMU)有一个带有32KB ROM和128 KB RAM的Microblaze。启动时,ROM被PMU Boot ROM (PBR)预加载,PBR用于执行预启动任务,进入服务模式。有关PMU、PBR和PMUFW加载顺序的更多细节,请参阅ZynqUltraScale+器件手册UG1085中的平台管理单元(第6章)。PMU RAM可以在运行时加载固件(PMUFirmware),并可用于扩展或定制PMU的功能。
3)       ARM Trusted Firmware
ARM TrustedFirmware(ATF)为ARMv8-A架构的安全软件提供了参考,它提供了各种接口标准的实现,如PSCI(PowerState Coordination Interface,电源状态协调接口)和用于与Normalworld software接口的安全监控代码。
4)       U-Boot
U-Boot是Universal Boot Loader的简称,是一个开源的、主要的引导加载程序,用于嵌入式设备中引导设备的操作系统内核,在Linux社区中经常使用。Xilinx在Zynq Ultrascale+设备中使用U-Boot作为第二阶段引导加载程序。关于U-Boot的更详细的介绍和使用请参考第十一章U-Boot使用实验。
5)       Hypervisor (Optional,可选)
在Zynq UltraScale+设备上,可以使用hypervisor运行多个虚拟机。Zynq UltraScale+设备上支持几种hypervisor,该榜单可在EmbeddedSoftware EcoSystem网站Xilinx.com上找到。
6)       Linux
Linux,全称GNU/Linux,是一种免费使用和自由传播的类UNIX操作系统,是我们本开发指南的重点。
以上就简单的介绍了Zynq UltraScale+ MPSoC嵌入式软件栈,如果没有看懂没关系,笔者这里简单的概括下。ZynqUltraScale+ MPSoC上电后,首先由BootROM对zynq设备进行初始启动,然后引导加载fsbl到OCM并启动fsbl;fsbl启动后加载PMU Firmware,然后加载ARM Trusted Firmware,配置DDR,最后将uboot加载到DDR并启动uboot;uboot启动后可选的加载hypervisor,并在hypervisor上运行linux系统,一般我们不使用hypervisor,而是直接使用uboot加载linux系统镜像到DDR并启动linux,至此整个linux系统启动完成。
综上,就是需要在Zynq UltraScale+ MPSoC运行linux系统所需要搭建的软件栈。如果这些软件栈由我们一个个手工搭建,任务量极其庞大,所幸的是,Xilinx推出了Petalinux开发工具,可以让我们方便快捷的完成这些软件栈的搭建,从而加快linux的使用和开发。
注:上面介绍了那么多软件栈,并不需要我们全部了解。以uboot为分界线,uboot以下的是跟zynq芯片密切相关的,是很底层的内容,一般不需要额外配置,让Petalinux工具自动适配即可。从uboot开始,跟zynq芯片的关联就弱了很多,uboot和linux内核可以适用与其他芯片平台,其知识点是通用的,也是我们需要关注的。

6.2 Petalinux工具的设计流程概述
通常PetaLinux工具遵循顺序设计流程模型,如下表所示:
QQ截图20240124175726.png
表6.2.1设计流程表

从上表可以看到,使用Vivado搭建好硬件平台后,通过几个命令就完成了Linux系统的定制,极其方便。
需要说明的是以上设计流程不是按部就班的每一步都执行一遍,可以根据使用场景有选择的执行。一般的设计流程如下:
1.       通过Vivado创建硬件平台,得到xsa文件;
2.       运行 source <petalinux安装路径>/settings.sh,设置Petalinux运行环境
3.       通过petalinux-create -t project创建petalinux工程;
4.       使用petalinux-config --get-hw-description,将xsa文件导入到petalinux工程当中并配置petalinux工程;
5.       使用petalinux-config -c kernel配置Linux内核;
6.       使用petalinux-config -c rootfs配置Linux根文件系统;
7.       配置设备树文件;
8.       使用petalinux-build编译整个工程;
9.       使用petalinux-package --boot制作BOOT.BIN启动文件;
10.   制作SD启动卡,将BOOT.BIN和image.ub以及根文件系统部署到SD卡中;
11.   将SD卡插入开发板,并将开发板启动模式设置为从SD卡启动;
12.   开发板连接串口线并上电启动,串口上位机打印启动信息,登录进入Linux系统。

6.3 使用Petalinux定制Linux系统
现在我们以使用Petalinux定制Linux系统为例,来实战下Petalinux的设计流程,体验Petalinux对Linux系统开发的简便之处。

6.3.1 创建Vivado硬件平台
在《DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南》中我们创建了很多Vivado工程,相信大家对vivado工程的创建已经非常熟悉了,为了节省时间,这里我们统一使用正点原子为DFZU2EG_4EVMPSoC开发板配置的vivado工程,该工程在开发板资料包中已经给大家提供了,路径为:4_SourceCode\3_Embedded_Linux\vivado_prj,在该目录下有两个目录——2eg和4ev,分别存放着ATK_DFZU2EG和ATK_DFZU4EV开发板的vivado工程,其中的base目录中的vivado工程就是我们本章节需要使用的vivado工程,为了方便读者的下载,以压缩包文件的形式提供的。这里大家根据自己所使用的开发板来选择,笔者以ATK_DFZU4EV MPSoC开发板为例,将4ev目录下的base.zip在Windows系统下解压得到vivado工程目录base,进入到该目录下,如下所示:
image003.png
图6.3.1 vivado工程目录
该目录下有一个system_wrapper.xsa文件,该文件包含着Vivado工程所对应的硬件平台信息,Petalinux根据这些信息来配置fsbl、uboot、内核等。
将该文件拷贝到Ubuntu系统下。譬如笔者在4.2节创建的share文件夹下创建一个xsa文件夹,并将system_wrapper.xsa文件复制到该文件夹下,如下所示:
image004.png
图6.3.2将xsa文件复制到share/xsa共享目录下
这样Ubuntu系统就可以通过访问该文件夹来使用xsa文件,相当于将该xsa文件复制到Ubuntu系统下。
6.3.2 设置Petalinux环境变量
现在进入到Ubuntu系统中,打开终端,以普通用户运行即可,不需要使用root用户。在正式使用petalinux工具之前,需要先设置petalinux的作环境,在终端输入如下命令即可:
  1. source /opt/pkg/petalinux/2019.2/settings.sh
  2. #或者
  3. sptl
复制代码
执行结果如下图所示:
image005.png
图 6.3.3 设置petalinux工作环境
6.3.3 创建petalinux工程
为了方便工程的管理,我们在使用的用户的家目录下新建一个workspace/petalinux目录,作为petalinux的工程目录。在终端中输入如下命令新建workspace/petalinux目录并切换到该目录:
  1. cd
  2. mkdir -p workspace/petalinux/
  3. cd workspace/petalinux/
复制代码
现在我们创建一个名为“ALIENTEK-ZYNQ”的Petalinux工程,在终端中输入如下命令:
petalinux-create -t project --template zynqMP -n ALIENTEK-ZYNQ
template参数表明创建的petalinux工程使用的平台模板,此处的zynqMP表明使用的是zynqMP Soc平台模板的petalinux工程,用于Zynq UltraScale+ MPSoC系列的芯片。name参数(此处简写为“-n”)后接的是petalinux工程名,如此处的“ALIENTEK-ZYNQ”。执行结果如下图所示:
image006.png
图 6.3.4 创建Petalinux工程
可以看到该命令会自动在work/petalinux目录下创建一个名为ALIENTEK-ZYNQ的文件夹,也就是ALIENTEK-ZYNQ对应的Petalinux工程所在目录。
6.3.4 配置petalinux工程
首次配置Petalinux工程是将xsa文件导入到Petalinux工程中,Petalinux工具会解析xsa文件并弹出配置窗口。在终端中输入如下命令配置Petalinux工程:
  1. cd ALIENTEK-ZYNQ
  2. petalinux-config --get-hw-description /mnt/hgfs/share/xsa/
复制代码
即进入到ALIENTEK-ZYNQ文件夹,并配置petalinux工程。“petalinux-config --get-hw-description”命令后面的文件夹就是我们复制到Windosw系统下的share共享目录中的xsa文件夹,如果是通过其他方式如FTP传到Ubuntu系统中的,只要执行“petalinux-config--get-hw-description xsa文件夹所在位置”即可。如果后面修改了Vivado工程,重新生成xsa文件后,可以重新执行“petalinux-config--get-hw-description xsa文件所在文件夹所在位置”以重新配置Petalinux工程。
执行结果如下图所示:
image008.png
图 6.3.5 配置petalinux工程
注:此处应该弹出petalinux工程配置窗口,如果没有弹出可能是因为终端窗口有点小,建议拉大终端窗口。
弹出petalinux工程配置窗口,如下图所示:
image010.png
图 6.3.6 petalinux工程配置窗口
需要注意的是该窗口不可以使用鼠标操作,只能通过键盘操作,界面上方的英文就是简单的操作说明,操作方法如下:
通过键盘上的“↑”和“↓”键来选择要配置的菜单,按下“Enter”键进入子菜单。菜单中高亮的字母就是此菜单的热键,在键盘上按下此高亮字母对应的键可以快速选中对应的菜单。选中子菜单以后按下“Y”键就会将相应的配置选项写入配置文件中,菜单前面变为“< * >”。按下“N”键不编译相应的代码,按下“M”键就会将相应的代码编译为模块,菜单前面变为“< M >”。按两下“Esc”键退出,也就是返回到上一级,按下“?”键查看此菜单的帮助信息,按下“/”键打开搜索框,可以在搜索框输入要搜索的内容。
在配置界面下方会有五个按钮,这五个按钮的功能如下:
<Select>:选中按钮,和“Enter”键的功能相同,负责选中并进入某个菜单。
<Exit>:退出按钮,和按两下“Esc”键功能相同,退出当前菜单,返回到上一级。
<Help>:帮助按钮,查看选中菜单的帮助信息。
<Save>:保存按钮,保存修改后的配置文件。
<Load>:加载按钮,加载指定的配置文件。
本实验我们无需更改该窗口的配置信息。不过由于该窗口菜单不多,我们就从上到下的简单地介绍下这些菜单。
首先按键盘上的下方向键移动到“Linux Components Selection”,然后按键盘上的“Enter”进入子菜单,子菜单内容如下图所示:
image012.png
图 6.3.7 Linux Components Selection子菜单
中括号里的“*”表示为已使能配置。前两个选项表示会自动生成我们在《DFZU2EG_4EV MPSoC之嵌入式Vitis开发指南》程序固化实验中的fsbl.elf文件和自动更新ps_init。下面两个选项用来配置u-boot和linux-kernel的来源,本实验保持默认来源配置,不做改动,后面的实验需要更改的时候再做介绍。按键盘上的“Esc”按键连按两次退出该子菜单。
“Auto Config Settings”菜单主要就是选择是否使能fsbl、pmufw、Device tree、Kernel和u-boot的自动配置,默认为自动配置,无需更改,就不看了。
“Subsystem AUTO Hardware Settings”子菜单的内容如下图所示:
image014.png
图 6.3.8 Subsystem AUTO Hardware Settings子菜单
进入到该界面的各个外设子菜单中,可以发现都已经设置好了默认配置,这些默认配置是根据xsa文件的信息自动配置的,基本上无需我们手动配置;“SD/SDIO Settings”配置项用于配置开发板的首要启动媒介,即是从SD卡启动还是从eMMC启动。一般我们调试使用都是通过SD卡启动,因为SD卡读写文件方便,从而方便调试;eMMC一般是程序测试稳定后上市时使用,不会像SD卡那样因碰摔造成的松动。对于DFZU2EG_4EV MPSoC开发板来说,这里需要修改一下,因为DFZU2EG_4EV MPSoC开发板的SD卡接在PS的SD1控制器,如下图所示:
image016.png
图 6.3.9 PS的SD1
首先光标移动到该配置项按回车进入,如下所示:
image018.png
图 6.3.10 配置SD卡启动
在“Primary SD/SDIO”配置项中需要将其修改为psu_sd_1。psu_sd_1对应的就是SD卡。修改完成之后连按两次ESC键回到上一级菜单。
在“Advanced bootable images storage Settings”菜单中可配置启动引导镜像和内核镜像的存储媒介,默认为PrimarySD,这里保持默认即可。
image020.png
图 6.3.11 Advanced bootable images storage Settings菜单
返回到主界面(按四次“ESC”按键),设备树设置菜单“DTGSettings”和ATF配置菜单“ARM Trusted FirmwareCompilation Configuration”等一般保持默认即可。
我们进入“Image Packaging Configuration”子菜单,如下图所示:
image022.png
图 6.3.12 Image Packaging Configuration子菜单
第一个选项便是根文件系统的类型的配置,默认为INITRAMFS,一般默认即可,如果我们需要运行Ubuntu或Debian的根文件系统时,就需要配置成EXT(SD/eMMC/QSPI/SATA/USB),NFS挂载启动需要配置成NFS。另外从该界面我们可以看到,有“Copy final images to tftpboot”选项,当在Ubuntu的根文件下创建一个名为tftpboot的文件夹时,工程生成镜像后会自动将相关文件复制到/tftpboot目录中。
回到主界面,“Firmware Version Configuration”可以用来修改定制的linux系统的主机名和产品名,默认与该Petalinux工程同名,如果需要可修改。“Yocto Settings”进行与Yocto相关的设置,这里就不做介绍了,一般保持默认即可。
按键盘上的右方向键(即右箭头),移动到底部的“Save”,按键盘上的“Enter”键,进入如下图所示的保存配置文件界面:
image024.png
图 6.3.13 保存配置文件界面
按键盘上的“Enter”键确认,进入下图所示界面:
image026.png
图 6.3.14 退出保存配置文件界面
再次按键盘上的“Enter”键确认,返会到原界面,按两次键盘上的“Esc”退出配置窗口。
如果后面想重新配置,只需输入“petalinux-config”命令即可重新配置。
这一步可能需要几分钟才能完成。这是因为PetaLinux会根据“Auto Config Settings --->”和“Subsystem AUTO Hardware Settings --->”来解析xsa文件,以获取更新设备树、U-Boot配置文件和内核配置文件所需的硬件信息。
等待一段时间后,完成petalinux工程的配置,如下图所示:
image028.png
图 6.3.15 完成petalinux工程的配置

6.3.5 配置Linux内核
现在我们开始定制Linux内核,在终端输入如下命令:
  1. petalinux-config -c kernel
复制代码
执行结果如下:
image030.png
图 6.3.16 定制Linux内核
等一段时间后会在终端中创建一个名为“linux-xlnx Configuration”的标签页,也就是Linux内核的配置界面,如下图所示:
image032.png
图 6.3.17 内核配置界面
可以看到Petalinux默认使用的内核版本为4.19.0,当然也可以换成其它版本的内核,不过修改起来比较麻烦,Petalinux对内核版本有要求,读者如需使用其他的内核版本可以在网上查找关于Petalinux使用非默认内核版本的方法。一般使用默认内核版本就可以了。
这里使用的内核Xilinx官方已经做好了基础配置,如无特定需求,无需更改。另外关于Linux内核的配置在后面的Linux内核移植章节进行讲解,此处就不多做介绍了。这里采用Xilinx官方的默认配置即可,保存配置并退出。
6.3.6 配置Linux根文件系统
在终端输入下面的命令可配置根文件系统,如果不需要配置可不执行该命令。
  1. petalinux-config -c rootfs
复制代码
下图就是根文件系统的配置界面:
image034.png
图 6.3.18 根文件系统的配置界面
默认配置可满足一般使用,也可以根据需求来定制根文件系统,本实验保持默认配置。需要说明的是“PetaLinux RootFS Settings”可以用来设置root用户的密码,默认为“root”。后面登录的时候会用到。
保存配置并退出。
6.3.7 配置设备树文件
关于设备树的概念,这里先不做介绍。设备树的概念源自于Linux内核当中,当然其实在U-Boot当中也已经使用了。如果需要配置设备树,可以编辑当前petalinux工程目录下的project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi文件。
我们可以打开这个文件进行编辑,将一些简单外设添加到系统当中,譬如按键、led和IIC设备。设备树用于保存Linux系统中的各种设备信息,内核在启动过程当中会去解析设备树文件,获取设备所需的配置信息完成设备的初始化工作。
设备树的概念以及相关配置、语法涉及到了Linux内核驱动相关知识,并不是本篇学习的重点,所以这里并不会去深入给大家介绍,将会在Linux驱动部分的章节做详细解说。
使用vi命令打开system-user.dtsi文件,如下所示:
  1. vi project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi
复制代码
默认的文件内容如下,可见该文件需要我们自己手动配置。
image036.png
图 6.3.19 system-conf.dtsi文件初始内容
我们把按键、led、USB和IIC设备的EEPROM添加到system-user.dtsi设备树当中,system-user.dtsi文件内容如下:
  1. /include/ "system-conf.dtsi"
  2. #include<dt-bindings/gpio/gpio.h>
  3. #include<dt-bindings/input/input.h>
  4. / {
  5.     model = "AlientekZynq MpSoc Development Board";
  6.    
  7.     leds {
  8.         compatible = "gpio-leds";
  9.         gpio-led0 {
  10.             label = "ps_led1";
  11.             gpios = <&gpio 38 GPIO_ACTIVE_HIGH>;
  12.             linux,default-trigger= "timer";
  13.         };
  14.         gpio-led1 {
  15.             label = "ps_led2";
  16.             gpios = <&gpio 39 GPIO_ACTIVE_HIGH>;
  17.             default-state = "on";
  18.         };
  19.         gpio-led2 {
  20.             label = "pl_led1";
  21.             gpios = <&axi_gpio_0 0 0 GPIO_ACTIVE_HIGH>;
  22.             linux,default-trigger= "timer";
  23.         };
  24.         gpio-led3 {
  25.             label = "pl_led2";
  26.             gpios = <&axi_gpio_0 1 0 GPIO_ACTIVE_HIGH>;
  27.             default-state = "on";
  28.         };         
  29.     };
  30.    
  31.     keys {
  32.         compatible = "gpio-keys";
  33.         autorepeat;
  34.         gpio-key,wakeup;
  35.         
  36.         gpio-key1 {
  37.             label = "ps_key1";
  38.             gpios = <&gpio 40 GPIO_ACTIVE_LOW>;
  39.             linux,code =<KEY_UP>;
  40.         };
  41.         gpio-key2 {
  42.             label = "ps_key2";
  43.             gpios = <&gpio 41 GPIO_ACTIVE_LOW>;
  44.             linux,code =<KEY_DOWN>;
  45.         };
  46.     gpio-key3 {
  47.         label = "pl_key1";
  48.         gpios = <&gpio 78 GPIO_ACTIVE_LOW>;
  49.         linux,code =<KEY_LEFT>;  
  50.     };
  51.     gpio-key4 {
  52.         label = "pl_key2";
  53.         gpios = <&gpio 79 GPIO_ACTIVE_LOW>;
  54.         linux,code =<KEY_RIGHT>;  
  55.     };
  56.     };
  57. };
  58. &gem3 {
  59.     phy-handle =<&#240;ernet_phy>;
  60.     local-mac-address= [000a 35 00 1e 53];
  61.     ethernet_phy: ethernet-phy@7{     
  62.         reg = <0x7>;
  63.     };
  64. };
  65. &gem0 {
  66.     psu_ethernet_0_mdio:mdio {
  67.         #address-cells = <1>;
  68.         #size-cells = <0>;
  69.         phy1:phy@4 {
  70.             reg = <0x4>;   /* YT8521 phy address */
  71.         };
  72.         gmii_to_rgmii_0:gmii_to_rgmii_0@0 {
  73.                         compatible ="xlnx,gmii-to-rgmii-1.0";
  74.                         phy-handle =<&phy1>;
  75.                         reg =<0>;
  76.         };
  77.     };
  78. };
  79. &sdhci0 {
  80. mmc-hs200-1_8v;
  81. bus-width = <0x8>;
  82. non-removable;
  83. };
  84. &sdhci1 {
  85. disable-wp;
  86. no-1-8-v;
  87. };
  88. &i2c0 {
  89.     clock-frequency =<400000>;
  90.     eeprom@50 {
  91.         compatible = "24c64";
  92.         reg = <0x50>;
  93.         pagesize = <32>;
  94.     };
  95. };
  96. &dwc3_0 {
  97.     dr_mode = "host";
  98.     maximum-speed ="super-speed";
  99. };
  100. &usb0 {
  101.     dr_mode = "host";
  102. };
复制代码
设备树配置内容在我们的例程源码当中已经提供了,路径为:“开发板资料盘(A盘)\4_SourceCode\3_Embedded_Linux\zynq_petalinux\4ev\1_customize_linux\software\device-tree\system-user.dtsi”,大家可以用网盘中的文件替换当前Petalinux工程中的该文件。
设备树文件当中配置了4个gpio led灯,4个按键、i2c0和usb0,以及PS和PL的以太网。下面简单的讲解下gpioled的配置。
4个gpio led灯分别对应开发板的4个led灯,配置信息主要包括compatible(用于与内核驱动匹配的名字)、label(名字)、gpios(对应的GPIO管脚)、默认状态以及触发状态。
例如linux,default-trigger = " timer "表示默认的触发状态是timer模式,也可以改为heartbeat模式,可以用来判断系统是否还在运行。除此之外,还有其他一些内核定义好的触发状态;default-state = "on"表示默认led灯是亮着的;gpios = <&gpio 38 GPIO_ACTIVE_HIGH>表示该led的控制管脚是gpio_38,GPIO_ACTIVE_HIGH表示高电平有效(也就是高电平的时候led灯才会亮)。
其他的就不讲解了,后面驱动部分文档中会详细讲解。
内容编辑完成之后保存退出即可。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-29 06:40

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表