OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 1820|回复: 6

关于7寸LCD屏的问题

[复制链接]

3

主题

14

帖子

0

精华

初级会员

Rank: 2

积分
50
金钱
50
注册时间
2023-3-19
在线时间
12 小时
发表于 2023-6-11 16:02:34 来自手机 | 显示全部楼层 |阅读模式
分辨率为1024*600时(图一),设为1024*1200时(图二),请问这怎么解决,看手册分辨率应该是1024*600才对
wx_camera_1686464983327.jpg
wx_camera_1686468239123.jpg
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

14

帖子

0

精华

初级会员

Rank: 2

积分
50
金钱
50
注册时间
2023-3-19
在线时间
12 小时
 楼主| 发表于 2023-6-11 16:13:22 来自手机 | 显示全部楼层
设为1250*1200的话屏幕就不会显示东西了
回复 支持 反对

使用道具 举报

3

主题

14

帖子

0

精华

初级会员

Rank: 2

积分
50
金钱
50
注册时间
2023-3-19
在线时间
12 小时
 楼主| 发表于 2023-6-11 16:55:03 来自手机 | 显示全部楼层
而且颜色也不正常
回复 支持 反对

使用道具 举报

0

主题

2

帖子

0

精华

新手入门

积分
4
金钱
4
注册时间
2023-6-20
在线时间
0 小时
发表于 2023-6-20 16:11:59 | 显示全部楼层
请问解决了吗?我也遇到了同样的问题
回复 支持 反对

使用道具 举报

3

主题

14

帖子

0

精华

初级会员

Rank: 2

积分
50
金钱
50
注册时间
2023-3-19
在线时间
12 小时
 楼主| 发表于 2023-6-22 20:46:28 来自手机 | 显示全部楼层
大盘鸡盖浇饭 发表于 2023-6-20 16:11
请问解决了吗?我也遇到了同样的问题

解决了,官方提供的应用程序不对
回复 支持 反对

使用道具 举报

0

主题

2

帖子

0

精华

新手入门

积分
4
金钱
4
注册时间
2023-6-20
在线时间
0 小时
发表于 2023-7-13 15:30:36 | 显示全部楼层
yandou 发表于 2023-6-22 20:46
解决了,官方提供的应用程序不对

你好你好 是说在正点原子里面的那个C开发指南里面给的例程有问题吗?
回复 支持 反对

使用道具 举报

3

主题

14

帖子

0

精华

初级会员

Rank: 2

积分
50
金钱
50
注册时间
2023-3-19
在线时间
12 小时
 楼主| 发表于 2023-7-25 15:47:48 来自手机 | 显示全部楼层
大盘鸡盖浇饭 发表于 2023-7-13 15:30
你好你好 是说在正点原子里面的那个C开发指南里面给的例程有问题吗?

嗯,他那个不适用于7寸LCD屏,计算内存的公式有问题,不能直接用,你可以去CSDN上copy一个。
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-5-29 20:00

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表