初级会员
- 积分
- 55
- 金钱
- 55
- 注册时间
- 2022-12-5
- 在线时间
- 6 小时
|
请问我从上位机发送一个1到fpga,这个32位的rec_data是接收到这个1并且保存的吗?如果是的,那么这个我想显示这个1在数码管上。直接判断这个rec_data可不可呢?
目前情况是我下载好代码数码管默认显示全部是6,发送1还是全部显示6。
module udp_rx(
input clk , //时钟信号
input rst_n , //复位信号,低电平有效
input gmii_rx_dv , //GMII输入数据有效信号
input [7:0] gmii_rxd , //GMII输入数据
output reg rec_pkt_done, //以太网单包数据接收完成信号
output reg rec_en , //以太网接收的数据使能信号
output reg [31:0] rec_data , //以太网接收的数据
output reg [15:0] rec_byte_num, //以太网接收的有效字节数 单位:byte
output beep , //电机信号
output reg [5:0] sel ,
output reg [7:0] seg_led
);
。。。。。
always @ (posedge clk or negedge rst_n) begin //数码管全选
if (!rst_n)
sel <= 6'b111111;
else
sel <= 6'b000000;
end
always @ (posedge clk or negedge rst_n) begin
if (!rst_n)
seg_led <= 8'b0;
else begin
case (rec_data)
32'h0 : seg_led <= 8'b1100_0000;
32'h1 : seg_led <= 8'b1111_1001;
32'h2 : seg_led <= 8'b1010_0100;
32'h3 : seg_led <= 8'b1011_0000;
32'h4 : seg_led <= 8'b1001_1001;
32'h5 : seg_led <= 8'b1001_0010;
32'h6 : seg_led <= 8'b1000_0010;
32'h7 : seg_led <= 8'b1111_1000;
32'h8 : seg_led <= 8'b1000_0000;
32'h9 : seg_led <= 8'b1001_0000;
32'ha : seg_led <= 8'b1000_1000;
32'hb : seg_led <= 8'b1000_0011;
32'hc : seg_led <= 8'b1100_0110;
32'hd : seg_led <= 8'b1010_0001;
32'he : seg_led <= 8'b1000_0110;
32'hf : seg_led <= 8'b1000_1110;
default : seg_led <= 8'b1100_0000;
endcase
end
end
。。。。。
|
|