OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2652|回复: 2

[XILINX] Place 30-764和Place 30-99 这两个问题怎么解决啊?

[复制链接]

1

主题

1

帖子

0

精华

新手入门

积分
9
金钱
9
注册时间
2020-1-15
在线时间
1 小时
发表于 2022-4-18 09:36:01 | 显示全部楼层 |阅读模式
3金钱
我在HDMI显示的基础上,另外加了一条数据通路,额外用到了VDMA等,也自定了VIP,在480x272的LCD屏上能够正常完成,但是一旦变成720P,用HDMI显示,就出现了下面的问题,请问,这个问题怎么解决?

[Place 30-764] Unroutable Placement! RAMBs driven by regional clock buffers (BUFRs and BUFHs) need to be in the same clock region as the buffers. There are not enough free RAMB sites available in the clock region where some of the buffers are placed. Some of them are listed below.
        target_detect_HW_i/axi_dynclk_0/U0/BUFR_inst (BUFR.O) is provisionally placed by clockplacer on BUFR_X0Y2

        The above error could possibly be related to other connected instances. Following is a list of
        all the related clock rules and their respective instances.

        Clock Rule: rule_bufr_IoClkLds
        Status: PASS
        Rule Description: A BUFR driving any number of IOBs must be placed within the same clock region
         target_detect_HW_i/axi_dynclk_0/U0/BUFR_inst (BUFR.O) is provisionally placed by clockplacer on BUFR_X0Y2
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_b/OSERDESE2_Master (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y28
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_b/OSERDESE2_Slave (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y27
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_clk/OSERDESE2_Master (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y26
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_clk/OSERDESE2_Slave (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y25
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_g/OSERDESE2_Master (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y24
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_g/OSERDESE2_Slave (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y23
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_r/OSERDESE2_Master (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y22
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_r/OSERDESE2_Slave (OSERDESE2.CLKDIV) is provisionally placed by clockplacer on OLOGIC_X0Y21

        Clock Rule: rule_bufio_clklds
        Status: PASS
        Rule Description: A BUFIO driving any number of IOBs must be placed within the same bank. In V7, there
        is at most one IO bank in  each clock region so the SameClockRegion rule is sufficient to satisfy the
        requirement.
         target_detect_HW_i/axi_dynclk_0/U0/BUFIO_inst (BUFIO.O) is provisionally placed by clockplacer on BUFIO_X0Y1
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_b/OSERDESE2_Master (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y28
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_b/OSERDESE2_Slave (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y27
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_clk/OSERDESE2_Master (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y26
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_clk/OSERDESE2_Slave (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y25
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_g/OSERDESE2_Master (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y24
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_g/OSERDESE2_Slave (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y23
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_r/OSERDESE2_Master (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y22
         target_detect_HW_i/DVI_Transmitter_0/inst/serializer_r/OSERDESE2_Slave (OSERDESE2.CLK) is provisionally placed by clockplacer on OLOGIC_X0Y21

        Clock Rule: rule_mmcm_bufr_bufio
        Status: PASS
        Rule Description: An MMCM driving a BUFR/BUFIO must both be in the same clock region
         target_detect_HW_i/axi_dynclk_0/U0/Inst_mmcme2_drp/mmcm_adv_inst (MMCME2_ADV.CLKOUT0) is provisionally placed by clockplacer on MMCME2_ADV_X0Y0
         target_detect_HW_i/axi_dynclk_0/U0/BUFIO_inst (BUFIO.I) is provisionally placed by clockplacer on BUFIO_X0Y1
         target_detect_HW_i/axi_dynclk_0/U0/BUFR_inst (BUFR.I) is provisionally placed by clockplacer on BUFR_X0Y2

        Clock Rule: rule_mmcm_mmcm
        Status: PASS
        Rule Description: An MMCM driving an MMCM must be in the same CMT column, and they are adjacent to
        each other (vertically), if the  CLOCK_DEDICATED_ROUTE=BACKBONE constraint is NOT set
         target_detect_HW_i/axi_dynclk_0/U0/Inst_mmcme2_drp/mmcm_adv_inst (MMCME2_ADV.CLKFBOUT) is provisionally placed by clockplacer on MMCME2_ADV_X0Y0
         and target_detect_HW_i/axi_dynclk_0/U0/Inst_mmcme2_drp/mmcm_adv_inst (MMCME2_ADV.CLKFBIN) is provisionally placed by clockplacer on MMCME2_ADV_X0Y0
[Place 30-99] Placer failed with error: 'IO Clock Placer failed'
Please review all ERROR, CRITICAL WARNING, and WARNING messages during placement to understand the cause for failure.
[Common 17-69] Command failed: Placer could not place all instances



正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2022-4-18 10:35:40 | 显示全部楼层
貌似提示时钟连接端口哪里有问题
回复

使用道具 举报

13

主题

202

帖子

0

精华

高级会员

Rank: 4

积分
527
金钱
527
注册时间
2012-10-27
在线时间
65 小时
发表于 2022-4-30 04:54:37 | 显示全部楼层
布局布线不通。
BUFR只能跨三个区域,上中下(Up Center Down)。
在Implementation可以看XnYn。

这种情况要调整外接器件和FPGA的线序,
是自己画的板还是官板?
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-4 00:26

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表