OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4772|回复: 5

[XILINX] 自定义AXI IP核如何读取数据,写入没问题

[复制链接]

4

主题

11

帖子

0

精华

新手上路

积分
37
金钱
37
注册时间
2019-5-9
在线时间
6 小时
发表于 2022-2-10 10:02:48 | 显示全部楼层 |阅读模式
教程“第六章 第六章 自定义 IP 核- 呼吸灯 实验”讲了 在vivado下如何创建基于AXI IP核, 可以通过连接Master端,从Master往Slave配置寄存器(写数据), 即把slv_reg寄存器接为输出到要控制的模块中去是没有问题的,比如控制指示灯或者配置DAC等等。

但不知道如何能把slave模块中的值返回到master端中来?

我是想在这个自定义的AXI IP核里读取ADC的状态,但IP核编译后vivado 报错:[Synth 8-685] variable 'slv_reg4' should not be used in output port connection ["f:/0_ZYNQ_SDK/2_psr_plw_bram/ip_repo/pl_bram_adc_1.0/hdl/pl_bram_adc_v1_0_S00_AXI.v":763]

意思就是AXI的reg不能连到verilog模块的输出端口,这个怎么办?




正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2022-2-10 14:58:08 | 显示全部楼层
本帖最后由 QinQZ 于 2022-2-10 15:00 编辑

QQ截图20220210145417.png
在这个模块中加一个输入端口,就是你要传输的数据。删除这里的slv_reg0,把输入端口的数据放在这里即可。当然替换其它slv_reg也行
回复 支持 1 反对 0

使用道具 举报

4

主题

11

帖子

0

精华

新手上路

积分
37
金钱
37
注册时间
2019-5-9
在线时间
6 小时
 楼主| 发表于 2022-2-10 17:28:27 | 显示全部楼层
QinQZ 发表于 2022-2-10 14:58
在这个模块中加一个输入端口,就是你要传输的数据。删除这里的slv_reg0,把输入端口的数据放在这里即可。 ...

谢谢,我试验一下
回复 支持 反对

使用道具 举报

4

主题

11

帖子

0

精华

新手上路

积分
37
金钱
37
注册时间
2019-5-9
在线时间
6 小时
 楼主| 发表于 2022-2-11 16:36:30 | 显示全部楼层
QinQZ 发表于 2022-2-10 14:58
在这个模块中加一个输入端口,就是你要传输的数据。删除这里的slv_reg0,把输入端口的数据放在这里即可。 ...

试验了没有问题,自定义一个wire类型的变量,按照上图修改AXI代码替代slv_reg0就行,这个自定义的变量是允许用户自己赋值的
回复 支持 反对

使用道具 举报

4

主题

11

帖子

0

精华

初级会员

Rank: 2

积分
63
金钱
63
注册时间
2019-7-24
在线时间
17 小时
发表于 2023-8-5 15:32:26 | 显示全部楼层
楼主,你有没有输出AD的值到ps啊,这个输出的值怎么处理,需要连接到端口吗
回复 支持 反对

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2023-8-7 09:52:34 | 显示全部楼层
这是报你Verilog语法连线错误,你可以看看自定义IP核这一节的视频,有讲到PS怎么读取
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-4 08:21

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表