OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4349|回复: 1

[XILINX] 《领航者ZYNQ之FPGA开发指南 V2.0》第三十三章 以太网ARP测试实验

[复制链接]

1107

主题

1118

帖子

2

精华

超级版主

Rank: 8Rank: 8

积分
4615
金钱
4615
注册时间
2019-5-8
在线时间
1218 小时
发表于 2021-12-29 18:01:36 | 显示全部楼层 |阅读模式
本帖最后由 正点原子运营 于 2021-12-29 18:06 编辑

1)实验平台:正点原子领航者V2FPGA开发板
2)  章节摘自【正点原子】《领航者ZYNQ之FPGA开发指南 V2.0》
3)购买链接:https://detail.tmall.com/item.htm?id=609032204975
4)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/fpga/zdyz_linhanz(V2).html
5)正点原子官方B站:https://space.bilibili.com/394620890
6)正点原子FPGA技术交流QQ群:712557122






第三十三章 以太网ARP测试实验

在以太网中,一个主机和另一个主机进行通信,必须要知道目的主机的MAC地址(物理地址),而目的MAC地址的获取由ARP协议完成。本章我们来学习如何通过领航者ZYNQ开发板实现ARP协议的功能。
本章分为以下几个章节:
1        
1        
1.1       简介
1.2       实验任务
1.3       硬件设计
1.4       程序设计
1.5       下载验证


1.1 简介
ARP概述
ARPAddress Resolution Protocol),即地址解析协议,是根据IP地址(逻辑地址)获取MAC地址的一种TCP/IP协议。在以太网通信中,数据是以“帧”的格式进行传输的,帧格式里面包含目的主机的MAC地址。源主机的应用程序知道目的主机的IP地址,却不知道目的主机的MAC地址。而目的主机的MAC地址直接被网卡接收和解析,当解析到目的MAC地址非本地MAC地址时,则直接丢弃该包数据,因此在通信前需要先获得目的的MAC地址,而ARP协议正是实现了此功能。
ARP协议的基本功能是通过目的设备的IP地址,查询目的设备的MAC地址,以保证通信的顺利进行。MAC地址在网络中表示网卡的ID,每个网卡都需要并有且仅有一个MAC地址。在获取到目的MAC地址之后,将目的MAC地址更新至ARP缓存表中,称为ARP映射,下次通信时,可以直接从ARP缓存表中获取,而不用重新通过ARP获取MAC地址。但一般ARP缓存表会有过期时间,过期后需要重新通过ARP协议进行获取。
ARP映射是指将IP地址和MAC地址映射起来,分为静态映射和动态映射。
静态映射指手动创建一张ARP表,把IP地址和MAC地址关联起来。手动绑定之后,源主机在通信之前,就可以直接从ARP表中直接找到IP地址对应的MAC地址,但这样做有一定的局限性,因为MAC地址可能会变化,比如:
1) 机器可能更换NIC(网络适配器),结果变成一个新的物理地址;
2) 在某些局域网中,每当计算机加电时,他的物理地址都要改变一次。
3) 移动电脑可以从一个物理网络转移到另一个物理网络,这样会改变物理地址。
要避免这些问题出现,必须定期维护更新ARP表,此类比较麻烦而且会影响网络性能。
动态映射指使用协议来获取相对应的物理地址,之所以用动态这个词是因为这个过程是自动完成的,一般应用程序的用户或系统管理员不必关心。已经设计出用于实现动态映射协议的有ARPRARP(逆地址解析协议)两种,如下图所示。

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image002.png

图 7.5.13.1 地址解析协议:RAP和RRAP
ARPIP地址映射为物理地址,RARP把物理地址映射为IP地址。RRAP是被那些没有磁盘驱动器的系统使用(一般是无盘工作站或 X终端),此类应用较少,本章不做讨论。
ARP协议分为ARP请求和ARP应答,源主机发起查询目的MAC地址的报文称为ARP请求,目的主机响应源主机并发送包含本地MAC地址的报文称为ARP应答。
当主机需要找出这个网络中的另一个主机的物理地址时,它就可以发送一个ARP请求报文,这个报文包含了发送方的MAC地址和IP地址以及接收方的IP地址。因为发送方不知道接收方的物理地址,所以这个查询分组会在网络层中进行广播,即ARP请求时发送的接收方物理地址为广播地址,用48’hff_ff_ff_ff_ff_ff表示。ARP请求的示意图如下图所示:

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image004.png

图 7.5.13.2 ARP请求示意图
上图中的主机A发起ARP请求,由于发送的目的MAC地址为广播地址,所以此时局域网中的所有主机都会进行接收并处理这个ARP请求报文,然后进行验证,查看接收方的IP地址是不是自己的地址。是则返回ARP应答报文,不是则不响应。
只有验证成功的主机才会返回一个ARP应答报文,这个应答报文包含接收方的IP地址和物理地址。ARP应答的示意图如下图所示:
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image006.png

图 7.5.13.3 ARP应答示意图
主机B利用收到的ARP请求报文中的请求方物理地址,以单播的方式直接发送给主机A,主机A将收到的ARP应答报文中的目的MAC地址解析出来,将目的MAC地址和目的IP地址更新至ARP缓存表中。当再次和主机A通信时,可以直接从ARP缓存表中获取,而不用重新发起ARP请求报文。需要说明的是,ARP缓存表中的表项有过期时间(一般为20分钟),过期之后,需要重新发起ARP请求以获取目的MAC地址。
ARP协议通过以太网进行传输,那么必须也要按照以太网所规定的格式进行传输,我们先来介绍下以太网的帧格式,随后再来向大家详细介绍ARP协议的具体格式。
以太网是目前应用最广泛的局域网通讯方式,同时也是一种协议。以太网协议定义了一系列软件和硬件标准,从而将不同的计算机设备连接在一起。我们知道串口通信单次只传输一个字节,而以太网通信是以数据包的形式传输,其单包数据量达到几十,甚至成百上千个字节。下图为以太网通过ARP传输单包数据的格式,从图中可以看出,以太网的数据包就是对协议的封装来实现数据的传输,即ARP数据位于以太网帧格式的数据段。这里只是让大家了解下以太网数据包的格式,后面会逐个展开来讲。

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image008.png

图 7.5.13.4 以太网ARP数据包格式
以太网MAC帧格式
以太网技术的正式标准是IEEE 802.3,它规定了以太网传输数据的帧结构,我们可以把以太网MAC层理解成高速公路,我们必须遵循它的规则才能在上面通行,以太网MAC层帧格式如7.5.13.5所示。
以太网传输数据时按照上面的顺序从头到尾依次被发送和接收,我们下面进一步解释各个区域。
前导码(Preamble):为了实现底层数据的正确阐述,物理层使用7个字节同步码(01交替(55-55-55-55-55-55-55))实现数据的同步。
帧起始界定符(SFDStart Frame Delimiter):使用1个字节的SFD(固定值为0xd5)来表示一帧的开始,即后面紧跟着传输的就是以太网的帧头。
目的MAC地址:即接收端物理MAC地址,占用6个字节。MAC地址从应用上可分为单播地址、组播地址和广播地址。单播地址:第一个字节的最低位为0,比如00-00-00-11-11-11,一般用于标志唯一的设备;组播地址:第一个字节的最低位为1,比如01-00-00-11-11-11,一般用于标志同属一组的多个设备;广播地址:所有48bit全为1,即FF-FF-FF-FF-FF-FF,它用于标志同一网段中的所有设备。
MAC地址:即发送端物理MAC地址,占用6个字节。
长度/类型:上图中的长度/类型具有两个意义,当这两个字节的值小于1536(十六进制为 0x0600)时,代表该以太网中数据段的长度;如果这两个字节的值大于1536,则表示该以太网中的数据属于哪个上层协议,例如0x0800代表IP协议(网际协议)、0x0806代表ARP协议(地址解析协议)等。
数据:以太网中的数据段长度最小46个字节,最大1500个字节。最大值1500称为以太网的最大传输单元(MTUMaximum Transmission Unit),之所以限制最大传输单元是因为在多个计算机的数据帧排队等待传输时,如果某个数据帧太大的话,那么其它数据帧等待的时间就会加长,导致体验变差,这就像一个十字路口的红绿灯,你可以让绿灯持续亮一小时,但是等红灯的人一定不愿意的。另外还要考虑网络I/O控制器缓存区资源以及网络最大的承载能力等因素,因此最大传输单元是由各种综合因素决定的。为了避免增加额外的配置,通常以太网的有效数据字段小于1500个字节。
帧检验序列(FCSFrame Check Sequence):为了确保数据的正确传输,在数据的尾部加入了4个字节的循环冗余校验码(CRC校验)来检测数据是否传输错误。CRC数据校验从以太网帧头开始即不包含前导码和帧起始界定符。通用的CRC标准有CRC-8CRC-16CRC-32CRC-CCIT,其中在网络通信系统中应用最广泛的是CRC-32标准。
在这里还有一个要注意的地方就是以太网相邻两帧之间的时间间隔,即帧间隙(IFGInterpacket Gap)。帧间隙的时间就是网络设备和组件在接收一帧之后,需要短暂的时间来恢复并为接收下一帧做准备的时间,IFG的最小值是96 bit time,即在媒介中发送96位原始数据所需要的时间,在不同媒介中IFG的最小值是不一样的。不管10M/100M/1000M的以太网,两帧之间最少要有96bittimeIFG的最少间隔时间计算方法如下:
10Mbit/s最小时间为:96*100ns = 9600ns
100Mbit/s最小时间为:96*10ns = 960ns
1000Mbit/s最小时间为:96*1ns = 96ns
接下来我们介绍ARP协议以及它和以太网MAC层的关系。在介绍ARP协议之前,我们先了解下TCP(传输控制协议)/IP(网际协议)协议簇。TCP/IP是网络使用中最基本的通信协议,虽然从名字看上去TCP/IP包括两个协议,TCPIP,但TCP/IP实际上是一组协议,它包括上百个各种功能的协议,如:TCPIPARPUDP等。而TCP协议和IP协议是保证数据完整传输的两个重要的协议,因此TCP/IP协议用来表示Internet协议簇。
TCP/IP协议不仅可以运行在以太网上,也可以运行在FDDI(光纤分布式数据接口)WLAN(无线局域网)上。反过来,以太网的高层协议不仅可以是TCP/IP协议,也可以是IPX协议(互联网分组交换协议)等,只不过以太网+TCP/IP成为IT行业中应用最普遍的技术。下面我们来熟悉下ARP协议。
ARP协议
ARP协议属于TCP/IP协议簇的一种,从前面介绍的7.5.13.4可以看出,ARP协议位于以太网MAC帧格式的数据段,ARP数据包格式如下图所示。

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image012.png

图 7.5.13.6 ARP数据包格式
硬件类型(Hardware type):硬件地址的类型,1表示以太网地址。
协议类型(Protocol type):要映射的协议地址类型,ARP协议的上层协议为IP协议,因此该协议类型为IP协议,其值为0x0800
硬件地址长度(Hardware size):硬件地址(MAC地址)的长度,以字节为单位。对于以太网上IP地址的ARP请求或者应答来说,该值为6
协议地址长度(Protocol size):IP地址的长度,以字节为单位。对于以太网上IP地址的ARP请求或者应答来说,该值为4
OPOpcode):操作码,用于表示该数据包为ARP请求或者ARP应答。1表示ARP请求,2表示ARP应答。
MAC地址:发送端的硬件地址。
IP地址:发送端的协议(IP)地址,如192.168.1.102
目的MAC地址:接收端的硬件地址,在ARP请求时由于不知道接收端MAC地址,因此该字段为广播地址,即48’hff_ff_ff_ff_ff_ff
目的IP地址:接收端的协议(IP)地址,如192.168.1.10
以太网的帧格式、ARP数据格式到这里已经全部介绍完了,关于通过以太网传输ARP报文的格式如下图所示:
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image013.png

图 7.5.13.7 以太网ARP数据包格式
由上图可知,28字节的ARP数据位于以太网帧格式的数据段。由于以太网数据段最少为46个字节,而ARP数据包总长度为28个字节,因此在ARP数据段后面需要填充18个字节的数据,以满足以太网传输格式的要求。这个填充的过程称为Padding(填充),填充的数据可以为任意值,但一般为0
RGMII接口介绍
以太网的通信离不开物理层PHY芯片的支持,以太网MACPHY之间有一个接口,常用的接口有MIIRMIIGMIIRGMII等。
MIIMedium Independent Interface,媒体独立接口):MII支持10Mbps100Mbps的操作,数据位宽为4位,在100Mbps传输速率下,时钟频率为25Mhz
RMIIReduced MII):RMIIMII的简化版,数据位宽为2位,在100Mbps传输速率下,时钟频率为50Mhz
GMIIGigabit MII):GMII接口向下兼容MII接口,支持10Mbps100Mbps1000Mbps的操作,数据位宽为8位,在1000Mbps传输速率下,时钟频率为125Mhz
RGMIIReduced GMII):RGMIIGMII的简化版,数据位宽为4位,在1000Mbps传输速率下,时钟频率为125Mhz,在时钟的上下沿同时采样数据。在100Mbps10Mbps通信速率下,为单个时钟沿采样。
在千兆以太网中,常用的接口为RGMIIGMII接口。RGMII接口的优势是同时适用于10M/100M/1000Mbps通信速率,同时占用的引脚数较少。但RGMII接口也有其缺点,就是在PCB布线时需要尽可能对时钟、控制和数据线进行等长处理,且时序约束相对也更为严格。
为了节省引脚,领航者ZYNQ开发板板载的PHY芯片采用的接口为RGMII接口,下图是MAC侧与PHY侧接口的连接。
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image015.png

图 7.5.13.8 MAC侧与PHY侧接口连接
ETH_RXC:接收数据参考时钟,1000Mbps速率下,时钟频率为125MHz,时钟为上下沿同时采样;100Mbps速率下,时钟频率为25MHz10Mbps速率下,时钟频率为2.5MHzETH_RXCPHY侧提供。
ETH_RXCTLETH_RX_DV):接收数据控制信号。
ETH_RXD:四位并行的接收数据线。
ETH_TXC:发送参考时钟,1000Mbps速率下,时钟频率为125MHz,时钟为上下沿同时采样;100Mbps速率下,时钟频率为25MHz10Mbps速率下,时钟频率为2.5MHzETH_TXCMAC侧提供。
ETH_TXCTLETH_TXEN):发送数据控制信号。
ETH_TXD:四位并行的发送数据线。
ETH_RESET_N:芯片复位信号,低电平有效。
ETH_MDC:数据管理时钟(Management Data Clock),该引脚对ETH_MDIO信号提供了一个同步的时钟。
ETH_MDIO:数据输入/输出管理(Management Data Input/Output),该引脚提供了一个双向信号用于传递管理信息。
其中ETH_RXCETH_RXCTLETH_RXDMAC接收侧引脚;ETH_TXCETH_TXCTLETH_TXDMAC发送侧引脚;ETH_MDCETH_MDIOMDIO接口引脚,用于配置PHY芯片内部寄存器;ETH_RST_NPHY芯片硬件复位信号。由于PHY芯片的内部寄存器在默认配置下也可以正常工作,因此本次实验没有对MDIO接口进行读写操作,只用到了以太网的RGMII接口信号和复位信号。
RGMII使用4bit数据接口,在1000Mbps通信速率下,ETH_TXCETH_RXC的时钟频率为125Mhz,采用上下沿DDRDouble Data Rate)的方式在一个时钟周期内传输8位数据信号,即上升沿发送/接收低4位数据,下降沿发送/接收高4位数据。ETH_TXCTLETH_RXCTL控制信号同样采用DDR的方式在一个时钟周期内传输两位控制信号,即上升沿发送/接收数据使能(TX_EN/RX_ DV)信号,下降沿发送/接收使能信号与错误信号的异或值(TX_ERR xor TX_ENRX_ERR xorRX_DV)。当RX_DV为高电平(表示数据有效),RX_ERR为低电平(表示数据无错误),则异或的结果值为高电平,因此只有当ETH_RXCTLETH_TXCTL信号的上下沿同时为高电平时,发送和接收的数据有效且正确。
RGMII工作在100Mbps时,ETH_TXCETH_RXC的时钟频率为25Mhz,采用上升沿SDR的方式在一个周期内传输4位数据。不过此时ETH_TXCTLETH_RXCTL控制信号仍采用上下沿DDR的传输方式。
RGMII工作在10Mbps时,ETH_TXCETH_RXC的时钟频率为2.5Mhz,采用上升沿SDR的方式在一个周期内传输4位数据。ETH_TXCTLETH_RXCTL控制信号也采用SDR的传输方式。
RGMII接口时序
PHY芯片的RGMII接口时序,其时钟、控制信号和数据的对齐方式,一般由MDIO接口或者硬件上的特殊引脚进行配置。
RGMII接收端口时钟、控制信号和数据对齐的时序图如下:


file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image017.jpg
7.5.13.9 RGMII接收端口信号对齐
由上图可知,RXC的上下边沿与RXDRX_CTL信号对齐,相位相同。
RGMII接收端口时钟和控制/数据信号增加延时的时序图如下:

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image019.jpg
7.5.13.10 RGMII接收信号增加时钟延时
由上图可知,RXC的上下边沿与RXDRX_CTL信号的中间位置对齐,RXC的时钟周期为8ns,单个高电平或者低电平为4nsRXC相对于RXDRX_CTL延时约2ns
YT8511 RGMII接收端口的信号对齐模式由硬件上的特殊引脚外接上下拉电阻进行配置,如7.5.13.11所示。从下图中可以看出,当管脚LED_10_100接上拉电阻时,表示RXC时钟相对于RXD信号,会增加约2ns的延时。而开拓者硬件原理图中YT8511的管脚LED_10_100连接的是上拉电阻,因此RXCRXD之间会有约2ns的延时,RGMII接收端口的时序图如7.5.13.10所示。
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image021.jpg


7.5.13.11 RGMII接收端口模式配置
RGMII发送端口正常模式时序图如下:
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image023.jpg

7.5.13.12 RGMII发送端口正常模式
由上图可知,RGMII发送端口正常模式下,需要满足TXC的上下边沿与TXDTX_CTL信号对齐,相位相同。YT8511在硬件上面没有做TX端的delay模式,可根据实际情况,选择是否在代码中进行延时(因为一般对端设备的接收端会有延时处理的功能,因此发送端也可以不延时),延时后的时序图如下所示:
file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image025.jpg


7.5.13.13 RGMII发送端口延时模式
RGMII的接口时序可知,RGMII发送端口在TXC时钟的上升沿传输TXD的高4位和TX_CTL的使能信号;下降沿传输TXD的低4位和TX_CTL的错误信号(实际上是使能信号和错误信号的异或值);RGMII接收端口在RXC时钟的上升沿传输RXD的高4位和RX_CTL的使能信号;下降沿传输RXD的低4位和RX_CTL的错误信号(实际上是使能信号和错误信号的异或值)。
Xilinx原语
原语是Xilinx器件底层硬件中的功能模块,它使用专用的资源来实现一系列的功能。相比于IP核,原语的调用方法更简单,但是一般只用于实现一些简单的功能。本章主要用到了BUFGBUFIOIDDRODDRIDELAYE2IDELAYCTRL
BUFG:全局缓冲,BUFG的输出到达FPGA内部的IOBCLB、块RAM的时钟延迟和抖动最小。BUFG原语模板如下:
  1. BUFG BUFG_inst (
  2.     .O(O), // 1-bit output:Clock output
  3.     .I(I)  // 1-bit input: Clock input
  4. );
复制代码

除了BUFG外,常用的还有BUFRBUFRregional时钟网络,它的驱动范围只能局限在一个clock region的逻辑。BUFR相比BUFG的最大优势是偏斜和功耗都比较小。
BUFIOBUFIOIO时钟网络,其独立于全局时钟资源,适合采集源同步数据。它只能驱动IO Block里面的逻辑,不能驱动CLB里面的LUTREG等逻辑。BUFIO原语模板如下:
  1.    .O(O), // 1-bit output:Clock output (connect to I/O clock loads).
  2.     .I(I)  // 1-bit input: Clock input (connect to an IBUF or BUFMR).
  3.     );
复制代码
BUFIO BUFIO_inst
BUFIO在采集源同步IO数据时,提供非常小的延时,因此非常适合采集比如RGMII接收侧的数据,但是由于其不能驱动FPGA的内部逻辑,因此需要BUFIOBUFG配合使,以达到最佳性能。如ETH_RXC的时钟经过BUFIO,用来采集端口数据;ETH_RXC经过BUFG,用来作为除端口采集外的其他模块的操作时钟。
IDDR:在7系列设备的ILOGIC block中有专属的registers来实现input double-data-rate(IDDR)registers,将输入的上下边沿DDR信号,转换成两位单边沿SDR信号。IDDR的原语结构图如下图所示:

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image027.jpg
图 7.5.13.14 IDDR原语结构图
C:输入的同步时钟;
D:输入的1DDR数据;
Q1Q2:分别是“C”时钟上升沿和下降沿同步输出的SDR数据。
CE:时钟使能信号;
  1. IDDR #(
  2.       .DDR_CLK_EDGE("OPPOSITE_EDGE"),// "OPPOSITE_EDGE", "SAME_EDGE"
  3.                                       //    or"SAME_EDGE_PIPELINED"
  4.       .INIT_Q1(1'b0), // Initial value of Q1: 1'b0 or 1'b1
  5.       .INIT_Q2(1'b0), // Initial value of Q2: 1'b0 or 1'b1
  6.       .SRTYPE("SYNC")// Set/Reset type: "SYNC" or"ASYNC"
  7.    ) IDDR_inst (
  8.       .Q1(Q1), // 1-bit output forpositive edge of clock
  9.       .Q2(Q2), // 1-bit output fornegative edge of clock
  10.       .C(C),   // 1-bit clock input
  11.       .CE(CE), // 1-bit clockenable input
  12.       .D(D),   // 1-bit DDR data input
  13.       .R(R),   // 1-bit reset
  14.       .S(S)    // 1-bit set
  15.    );
复制代码

S/R:置位/复位信号,这两个信号不能同时拉高。
IDDR原语模板如下:

DDR_CLK_EDGE参数为IDDR的三种采集模式,分别为“OPPOSITE_EDGE”、“SAME_EDGE”和“SAME_EDGE_PIPELINED”模式。
OPPOSITE_EDGE模式的时序图如下图所示:


file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image029.jpg
图 7.5.13.15 IDDR“OPPOSITE_EDGE”模式时序图
OPPOSITE_EDGE模式下,在时钟的上升沿输出的Q1,时钟的下降沿输出Q2
SAME_EDGE模式的时序图如下图所示:


file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image031.jpg
图 7.5.13.16 IDDR“SAME_EDGE”模式时序图
SAME_EDGE模式下,在时钟的上升沿输出Q1Q2,但Q1Q2不在同一个cycle输出。
SAME_EDGE_PIPELINED模式的时序图如下图所示:

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image033.jpg

图 7.5.13.17 IDDR“SAME_EDGE_PIPELINED”模式时序图
SAME_EDGE_PIPELINED模式下,在时钟的上升沿输出Q1Q2Q1Q2虽然在同一个cycle输出,但整体延时了一个时钟周期。在使用IDDR时,一般采用此种模式。
ODDR:通过ODDR把两路单端的数据合并到一路上输出,上下沿同时输出数据,上升沿输出a路,下降沿输出b路;如果两路输入信号一路固定为1,另外一路固定为0,那么输出的信号实际上是时钟信号。
ODDR的原语结构图如下图所示:

file:///C:/Users/ALENTEK/AppData/Local/Temp/msohtmlclip1/01/clip_image035.jpg

图 7.5.13.18 ODDR原语结构图
C:输入的同步时钟;
Q:输出的1DDR数据;
D1D2:分别是“C”时钟上升沿和下降沿同步输入的SDR数据。
CE:时钟使能信号;
S/R:置位/复位信号,这两个信号不能同时拉高。
ODDR原语模板如下:
  1. ODDR #(
  2.       .DDR_CLK_EDGE("OPPOSITE_EDGE"),// "OPPOSITE_EDGE" or "SAME_EDGE"
  3.       .INIT(1'b0),    // Initial valueof Q: 1'b0 or 1'b1
  4.       .SRTYPE("SYNC")// Set/Reset type: "SYNC" or"ASYNC"
  5.    ) ODDR_inst (
  6.       .Q(Q),   // 1-bit DDR output
  7.       .C(C),   // 1-bit clock input
  8.       .CE(CE), // 1-bit clockenable input
  9.       .D1(D1), // 1-bit data input(positive edge)
  10.       .D2(D2), // 1-bit data input(negative edge)
  11.       .R(R),   // 1-bit reset
  12.       .S(S)    // 1-bit set
  13.    );
复制代码

此种模式下,数据可以在相同的时钟边沿输出到Q,一般采用此种模式。
IDELAYE2IO延时原语,用于在信号通过引脚进入芯片内部之前,进行延时调节,一般高速端口信号由于走线延时等原因,需要通过IDELAYE2原语对数据做微调。IDELAYE2原语模板如下:
IDATAIN为延时前的输入信号,DATAOUT为延时后的输出信号。
REFCLK_FREQUENCY参数为IDELAYCTRL原语的参考时钟频率,一般为200Mhz IDELAY_VALUE参数用来设置延时的tap数,范围为1~31,每个tap数的延时时间和参考时钟频率有关。
IDELAYE2对应的还有ODELAYE2,由于A7系列没有ODELAYE2原语,故此处不做讨论。
IDELAYCTRLIDELAYCTRLIDELAYE2一般同时使用,IDELAYCTRLIDELAYE2延时进行校准。IDELAYE2原语如下:
  1. (* IODELAY_GROUP = <iodelay_group_name>*)

  2. IDELAYCTRL IDELAYCTRL_inst (
  3.       .RDY(RDY),       // 1-bit output: Ready output
  4.       .REFCLK(REFCLK), // 1-bit input:Reference clock input
  5.       .RST(RST)        // 1-bit input: Active high reset input
  6.    );  
复制代码

IODELAY_GROUP为延时IO分组,一般数据接口位于多个BANK时,才需要分组。
IDELAYCTRL通过参考时钟REFCLK来校准IDELAY2每个tap的延时值,可用的REFCLK频率为190Mhz~210Mhz或者290Mhz~310Mhz。时钟频率越高对应的tap延时平均值越小,即延时调节精度越高。当参考时钟为200Mhz时,一个tap78ps
1.2 实验任务
本节实验任务是使用领航者ZYNQ开发板上的PL端以太网接口,和上位机实现ARP请求和应答的功能。当上位机发送ARP请求时,开发板返回ARP应答数据。当按下开发板的触摸按键时,开发板发送ARP请求,此时上位机返回应答数据。
1.3 硬件设计
PL端千兆以太网接口部分的硬件设计原理和“MDIO接口读写测试实验” 完全相同,请参考“MDIO接口读写测试实验”中的硬件设计部分。
本实验中,各端口信号的管脚分配如下表所示:
表 33.3.1 以太网ARP测试实验管脚分配
  
信号名
  
方向
管脚
端口说明
电平标准
sys_clk
input
U18
系统时钟,50MHz
LVCMOS33
sys_rst_n
input
N16
系统复位按键,低电平有效
LVCMOS33
touch_key
input
L19
触摸按键
LVCMOS33
eth_rst_n
output
G14
以太网复位信号
LVCMOS33
eth_rxc
input
K17
RGMII接收数据时钟
LVCMOS33
eth_rx_ctl
input
D19
RGMII输入数据有效信号
LVCMOS33
eth_rxd[0]
input
F19
RGMII输入数据RXD[0]
LVCMOS33
eth_rxd[1]
input
F20
RGMII输入数据RXD[1]
LVCMOS33
eth_rxd[2]
input
E17
RGMII输入数据RXD[2]
LVCMOS33
eth_rxd[3]
input
D18
RGMII输入数据RXD[3]
LVCMOS33
eth_txc
output
G19
RGMII发送数据时钟
LVCMOS33
eth_tx_ctl
output
E19
RGMII输出数据有效信号
LVCMOS33
eth_txd[0]
output
G20
RGMII输出数据TXD[0]
LVCMOS33
eth_txd[1]
output
F16
RGMII输出数据TXD[1]
LVCMOS33
eth_txd[2]
output
F17
RGMII输出数据TXD[2]
LVCMOS33
eth_txd[3]
output
E18
RGMII输出数据TXD[3]
LVCMOS33
对应的XDC约束语句如下所示:
  1. <div align="left">create_clock -period 20.000 -name sys_clk [get_portssys_clk]</div><div align="left">create_clock -period 8.000 -name eth_rxc [get_portseth_rxc]</div>
  2. <div align="left">set_property -dict {PACKAGE_PIN U18 IOSTANDARDLVCMOS33} [get_ports sys_clk]</div><div align="left">set_property -dict {PACKAGE_PIN N16 IOSTANDARDLVCMOS33} [get_ports sys_rst_n]</div>
  3. <div align="left">set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33}[get_ports touch_key]</div>

  4. <div align="left">set_property -dict {PACKAGE_PIN G15 IOSTANDARDLVCMOS33} [get_ports eth_rst_n]</div><div align="left">set_property -dict {PACKAGE_PIN K17 IOSTANDARDLVCMOS33} [get_ports eth_rxc]</div><div align="left">set_property -dict {PACKAGE_PIN E17 IOSTANDARDLVCMOS33} [get_ports eth_rx_ctl]</div><div align="left">set_property -dict {PACKAGE_PIN B19 IOSTANDARDLVCMOS33} [get_ports {eth_rxd[0]}]</div><div align="left">set_property -dict {PACKAGE_PIN A20 IOSTANDARDLVCMOS33} [get_ports {eth_rxd[1]}]</div><div align="left">set_property -dict {PACKAGE_PIN H17 IOSTANDARDLVCMOS33} [get_ports {eth_rxd[2]}]</div><div align="left">set_property -dict {PACKAGE_PIN H16 IOSTANDARDLVCMOS33} [get_ports {eth_rxd[3]}]</div>
  5. <div align="left">set_property -dict {PACKAGE_PIN B20 IOSTANDARDLVCMOS33} [get_ports eth_txc]</div><div align="left">set_property -dict {PACKAGE_PIN K18 IOSTANDARDLVCMOS33} [get_ports eth_tx_ctl]</div><div align="left">set_property -dict {PACKAGE_PIN D18 IOSTANDARDLVCMOS33} [get_ports {eth_txd[0]}]</div><div align="left">set_property -dict {PACKAGE_PIN C20 IOSTANDARDLVCMOS33} [get_ports {eth_txd[1]}]</div><div align="left">set_property -dict {PACKAGE_PIN D19 IOSTANDARDLVCMOS33} [get_ports {eth_txd[2]}]</div><div align="left">set_property -dict {PACKAGE_PIN D20 IOSTANDARDLVCMOS33} [get_ports {eth_txd[3]}]</div>
复制代码


正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

1

主题

2

帖子

0

精华

新手入门

积分
9
金钱
9
注册时间
2021-12-22
在线时间
1 小时
发表于 2022-1-6 22:19:51 | 显示全部楼层
请问自己的电脑仅支持百兆网,应该修改哪一部分呀?
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 22:14

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表