OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 1721|回复: 4

[ALTERA] vhdl语法错误 帮我看看吧

[复制链接]

115

主题

548

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2078
金钱
2078
注册时间
2013-11-27
在线时间
511 小时
发表于 2021-11-29 14:31:45 来自手机 | 显示全部楼层 |阅读模式
去掉event判断就可以 只判断电平是可以的。
A26FA389-9139-4B57-BD23-DA79925560DD.jpeg
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

0

主题

465

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1729
金钱
1729
注册时间
2021-4-11
在线时间
319 小时
发表于 2021-11-29 14:33:02 | 显示全部楼层
回复 支持 反对

使用道具 举报

115

主题

548

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2078
金钱
2078
注册时间
2013-11-27
在线时间
511 小时
 楼主| 发表于 2021-11-29 14:35:49 来自手机 | 显示全部楼层
现在呢
20C39B64-03F0-4B1C-8EC4-ECDBE69EF2FF.jpeg
回复 支持 反对

使用道具 举报

115

主题

548

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2078
金钱
2078
注册时间
2013-11-27
在线时间
511 小时
 楼主| 发表于 2021-11-29 14:36:10 来自手机 | 显示全部楼层
这咋上传原图
回复 支持 反对

使用道具 举报

115

主题

548

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2078
金钱
2078
注册时间
2013-11-27
在线时间
511 小时
 楼主| 发表于 2021-11-29 14:41:33 | 显示全部楼层
现在能看清楚了吧
77705328-8E8C-486B-857D-A805D3F6B1FF.jpeg
683FFBA7-E011-4D8E-B567-3A07EEA7F1AD.jpeg
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 22:15

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表