OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6057|回复: 13

[XILINX] FPGA实现AD转化模块加usb与上位机通信

[复制链接]

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
发表于 2021-11-14 22:12:52 | 显示全部楼层 |阅读模式
3金钱
各位,求教~
我用FPGA实现一个AD转换模块用usb(不是串口,就是用usb2.0)与上位机通信,做出来之后能运行,但就是波形不太好,具体如图片所示(图里是一个三角波)。
波形图.jpg
这样的情况是因为usb传输速度过快导致的吗?我在FPGA中就用了一个FIFO。
我尝试过降低FPGA全局工作频率,还有单独降低AD采集模块的频率,还调整过FIFO的大小,都没有从本质上解决问题(波形有一定变化,但图中的问题一直存在)。
是不是应该要在FPGA这边再加一个FIFO采用双缓冲?

最佳答案

查看完整内容[请看2#楼]

FT232H没用过,USB转UART?串口速度跟不上吧。我使用的68013A是比较成熟的USB2.0方案,网上资料也很多。它的SLAVEFIFO模式和FPGA进行匹配,FPGA内部写状态机进行接口时序匹配,同时做好硬件波形的抓取,我记得在调的时候刚开始也遇到差不多你这样的问题,然后把FIFO读写的WR/RD信号提前于DATA一个周期,就不丢数了,至于匹配读写信号和数据线之间的相位关系,根据实际情况抓取波形来分析,做到时序匹配即可解决数据丢失的问题。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

0

主题

39

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
208
金钱
208
注册时间
2020-8-24
在线时间
90 小时
发表于 2021-11-14 22:12:53 | 显示全部楼层
xara 发表于 2021-11-19 20:28
谢谢老师指导~
我的是用FPGA开发板上的USB2.0模块(FT232H芯片)以及外接一个单路AD模块(AD9280芯片) ...

FT232H没用过,USB转UART?串口速度跟不上吧。我使用的68013A是比较成熟的USB2.0方案,网上资料也很多。它的SLAVEFIFO模式和FPGA进行匹配,FPGA内部写状态机进行接口时序匹配,同时做好硬件波形的抓取,我记得在调的时候刚开始也遇到差不多你这样的问题,然后把FIFO读写的WR/RD信号提前于DATA一个周期,就不丢数了,至于匹配读写信号和数据线之间的相位关系,根据实际情况抓取波形来分析,做到时序匹配即可解决数据丢失的问题。
回复

使用道具 举报

2

主题

132

帖子

0

精华

高级会员

Rank: 4

积分
648
金钱
648
注册时间
2020-4-21
在线时间
83 小时
发表于 2021-11-17 09:29:46 | 显示全部楼层
你这个要考虑下是不是ad的带宽比usb的带宽高,导致数据丢失从而出现你上图的那种现象
回复

使用道具 举报

0

主题

7

帖子

0

精华

新手上路

积分
22
金钱
22
注册时间
2020-7-7
在线时间
2 小时
发表于 2021-11-17 09:50:22 | 显示全部楼层
可以尝试做帧重复,例如你把一段波形的数据存入ddr,然后将ddr的数据通过usb传给上位机,这个时候假如AD芯片采样数据跟不上usb传输速度你就把上一段存在DDR里的波形数据重复上传给上位机,等待AD采样到足够的数据再上传新的一段数据,这样相当于一段数据重复上传了2次,可以解决画面不连续问题。(如果真的是因为AD速度跟不上usb传输的速度可以用这种方法)
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-11-17 23:02:59 | 显示全部楼层
xz12345 发表于 2021-11-17 09:50
可以尝试做帧重复,例如你把一段波形的数据存入ddr,然后将ddr的数据通过usb传给上位机,这个时候假如AD芯 ...

好的,谢谢指导~
但是这样本质上其实并没有解决最底层数据传输不连续的问题,其实我也不确定是不是因为usb和ad速度不匹配的问题,只是看现象我猜测应该是;
如果确实是这个原因的话是不是这种AD模块不适合与usb 2.0匹配?因为我看到和usb结合的AD数据采集模块一般多是图像采集一类的模块,没见过这种纯粹的用于输入波形的AD模块和usb 2.0直接结合的~
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-11-17 23:07:51 | 显示全部楼层
932904676xy 发表于 2021-11-17 09:29
你这个要考虑下是不是ad的带宽比usb的带宽高,导致数据丢失从而出现你上图的那种现象

请问带宽指的是传输数据的位宽吗?如果是位宽的话我可以确定是一样的,usb模块、FPGA、AD模块之间都是统一8bit的数据位宽。
回复

使用道具 举报

0

主题

2

帖子

0

精华

新手入门

积分
5
金钱
5
注册时间
2021-11-19
在线时间
1 小时
发表于 2021-11-19 16:22:02 | 显示全部楼层
兄弟,领航者的sdk,USB教程你有吗,有的话,发我一份呗
回复

使用道具 举报

0

主题

39

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
208
金钱
208
注册时间
2020-8-24
在线时间
90 小时
发表于 2021-11-19 16:42:05 | 显示全部楼层
我之前指导我学生完成过FPGA+68013A+AD7606的USB2.0数据采集卡,最终测试效果很不错,也没有丢数。其实整体方案要分两步走,首先确保FPGA驱动68013A传输到上位机不丢数,FPGA内部可以使用累加器(丢数的话能直接观察到)代替要最终传输的AD值给上位机,68013A工作在SLAVEFIFO模式下。完成了这一步,不丢数且数据连续,再做驱动AD的逻辑部分,然后就能看到AD7606的八个通道同时采集的八路模拟信号了。
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-11-19 20:15:45 | 显示全部楼层
liupengxin 发表于 2021-11-19 16:22
兄弟,领航者的sdk,USB教程你有吗,有的话,发我一份呗

不好意思,我用的是达芬奇的,不是领航者,没有领航者的教程~
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-11-19 20:28:40 | 显示全部楼层
本帖最后由 xara 于 2021-11-20 20:53 编辑
hehaiming 发表于 2021-11-19 16:42
我之前指导我学生完成过FPGA+68013A+AD7606的USB2.0数据采集卡,最终测试效果很不错,也没有丢数。其实整体 ...

谢谢老师指导~
我的是用FPGA开发板上的USB2.0模块(FT232H芯片)以及外接一个单路AD模块(AD9280芯片)做的,我也试试像您说的这种方案分开测试一下~
但是我想问一下,就是USB2.0(FT232H)是固定的60M频率,而我用的AD模块(AD9280芯片)最大仅支持32M时钟频率,这种硬件上的频率不匹配会不会是导致这种现象的根源,如果是的话那不是无论怎么调节都没法消除数据丢失的情况?
回复

使用道具 举报

0

主题

39

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
208
金钱
208
注册时间
2020-8-24
在线时间
90 小时
发表于 2021-11-22 10:11:58 | 显示全部楼层
xara 发表于 2021-11-19 20:28
谢谢老师指导~
我的是用FPGA开发板上的USB2.0模块(FT232H芯片)以及外接一个单路AD模块(AD9280芯片) ...

匹配不同时钟域的数据传输,可选用XILINX自带的独立读写时钟的FIFO来完成,我就是这样做的。这种FIFO的IP核直接例化即可使用,很方便,最关键的是能解决不同时钟域之间数据匹配问题。操作好这个FIFO,即可解决不丢数问题。
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-11-23 21:35:39 | 显示全部楼层
hehaiming 发表于 2021-11-22 10:00
FT232H没用过,USB转UART?串口速度跟不上吧。我使用的68013A是比较成熟的USB2.0方案,网上资料也很多。它 ...

它这个也是usb2.0的,不是转串口,因为FT232H可以根据需要配置成不同模式,其中一种就是usb2.0模式(相当于一个FT245芯片)。
我目前也是怀疑是不是FIFO没用好,也在重新理FIFO这一块的思路,听您这么一提示,我更感觉是这个问题了,那我接下来就往这个方向好好摸索摸索~
谢谢老师~
回复

使用道具 举报

7

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
149
金钱
149
注册时间
2021-8-23
在线时间
30 小时
 楼主| 发表于 2021-12-6 22:47:29 | 显示全部楼层
hehaiming 发表于 2021-11-14 22:12
FT232H没用过,USB转UART?串口速度跟不上吧。我使用的68013A是比较成熟的USB2.0方案,网上资料也很多。它 ...

请问老师这个有没有可能是上位机端的显示软件的问题或者说上位机资源不足的问题,因为上位机软件在接收到数据后进行波形绘制,绘图是要消耗一定的资源和时间的,而USB端传输速度很快,波形绘制的速度赶不上导致出现图片所示的情况?
回复

使用道具 举报

0

主题

39

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
208
金钱
208
注册时间
2020-8-24
在线时间
90 小时
发表于 2021-12-16 14:31:59 | 显示全部楼层
xara 发表于 2021-12-6 22:47
请问老师这个有没有可能是上位机端的显示软件的问题或者说上位机资源不足的问题,因为上位机软件在接收到 ...

上位机去USB缓冲区里面取数据,也要计算好多久这个缓冲区会满,然后上位机隔多久去缓冲区里面取多少数据,总的原则就是你取的速度要大于AD往USB上面写满的速度,这样才能保证数据不丢失。如果你来不及取或者没有规划好这个,就会出现数据断层丢数的现象。当然,这是上位机处理的机制。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 22:13

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表