OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5681|回复: 3

[ALTERA] SignalTap中无法正确看到cnt的计数值

[复制链接]

14

主题

43

帖子

0

精华

初级会员

Rank: 2

积分
95
金钱
95
注册时间
2020-12-19
在线时间
18 小时
发表于 2021-9-19 16:32:05 | 显示全部楼层 |阅读模式
10金钱
本帖最后由 1289251272 于 2021-9-19 19:51 编辑

在ModelSim中仿真可以看到cnt_h从0计数到7;
cnt_v从0计数到1;
符合程序代码

但是在SignalTap中cnt_h,cnt_v没有发生变换,
且发现为啥这cnt_h和cnt_v的名称是红色的,sys_rst_n和vga_clk的名称是黑色的



f5cfa60bb0d0b82a60c5e985e3f83f6.png
9f5c30b0c4b7157354dfce8687c642f.png
1632039744(1).jpg
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

530

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165186
金钱
165186
注册时间
2010-12-1
在线时间
2106 小时
发表于 2021-9-20 00:51:10 | 显示全部楼层
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-9-22 09:06:30 | 显示全部楼层
红色说明加的信号有问题,是不是代码改了,但是没有重新综合生成sof文件,或者重新加一下要观察的信号试试吧
回复

使用道具 举报

7

主题

88

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
273
金钱
273
注册时间
2015-1-10
在线时间
69 小时
发表于 2021-9-30 13:38:04 | 显示全部楼层
加*noprune*声明一下就行了
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 22:14

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表