OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 8347|回复: 3

[ALTERA] 请问为什么无法实现按键控制LED功能

[复制链接]

1

主题

2

帖子

0

精华

新手入门

积分
16
金钱
16
注册时间
2021-6-25
在线时间
3 小时
发表于 2021-6-25 22:48:21 | 显示全部楼层 |阅读模式
2金钱
就是fpga开拓者第二个实例 我想用一个ALWAYS实现控制LED
但是左向流水灯和右向流水灯根本不亮 但是交替闪烁却能实现 不知是哪里出了问题
是否有大佬帮忙解答一下

代码如下

module key_led(
        input                       clk,
        input                           rst_n,
        input      [3:0] key,
        output reg [3:0] led);
       
//reg ding yi
reg [23:0] counter;


always @(posedge clk or negedge rst_n)begin
        if(!rst_n)
                counter <= 24'd0;
        else if(counter < 24'd9999999)
                counter <= counter + 1'd1;
        else
                counter <= 24'd0;
        end

        always @(posedge clk or negedge rst_n)begin
        if (!rst_n)
                        led <= 4'b0000;
        else if(key == 4'b1110)  begin
                        led <= 4'b0001;
                  if(counter == 24'd9999999)
                        led <= {led[2:0],led[3]};
                        else led <= led;
                        end
        else if(key == 4'b1101)  begin
                        led  <= 4'b0001;
                  if(counter == 24'd9999999)
                        led <= {led[0],led[3:2]};
                        else led <= led;
                        end       
        else if(key == 4'b1011)  begin
                        led <= 4'b0000;
                  if(counter == 24'd9999999)
                        led <= ~led;
                        else led <= led;
                        end
        else if(key == 4'b1111)
                   led <= 4'b0000;
        else if(key == 4'b0111)  
                        led <= 4'b1111;
        else
                        led <= 4'b0000;
       
        end
        endmodule
       

最佳答案

查看完整内容[请看2#楼]

if(key == 4'b1110) begin led
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-6-25 22:48:22 | 显示全部楼层
if(key == 4'b1110)  begin
                        led <= 4'b0001;
                  if(counter == 24'd9999999)
                        led <= {led[2:0],led[3]};

counter等于9999999时才移位,其它时间都是等于4'b0001,当然看不出流水
回复

使用道具 举报

1

主题

2

帖子

0

精华

新手入门

积分
16
金钱
16
注册时间
2021-6-25
在线时间
3 小时
 楼主| 发表于 2021-6-27 12:11:46 | 显示全部楼层
QinQZ 发表于 2021-6-27 10:11
if(key == 4'b1110)  begin
                        led

多谢 明白了 应该用initial语句给led赋值
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-6-27 13:54:45 | 显示全部楼层
ksdxgl 发表于 2021-6-27 12:11
多谢 明白了 应该用initial语句给led赋值

不客气。不过不建议用initial语句,这个关键字一般用于仿真
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 20:26

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表