OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 8956|回复: 1

[XILINX] 达芬奇开发板---工程名称25_ov7725_lcd

[复制链接]

2

主题

2

帖子

0

精华

新手入门

积分
16
金钱
16
注册时间
2020-7-21
在线时间
3 小时
发表于 2021-1-27 11:17:06 | 显示全部楼层 |阅读模式
1金钱
有没有该工程完整的testbench仿真代码
本人编写了摄像头采集模块cmos_capture_data的testbench,但是无法出现仿真结果,求指教

以下为仿真代码和仿真结果
`timescale 1ns / 1ps


module tb_cmos_capture_data(

    );
    reg                rst_n            ;  //复位信号   
    //摄像头接口                             ;
    reg                 cam_pclk = 0    ;  //cmos 数据像素时钟
    reg                 cam_vsync       ;  //cmos 场同步信号
    reg                 cam_href        ;  //cmos 行同步信号
    reg  [7:0]          cam_data        ;                     
    //用户接口                              ;
    wire                cmos_frame_vsync;  //帧有效信号   
    wire                cmos_frame_href ;  //行有效信号
    wire                cmos_frame_valid;  //数据有效使能信号
    wire       [15:0]   cmos_frame_data ;  //有效数据        


    cmos_capture_data   uut_cmos_capture_data(
    .   rst_n           (rst_n           ) ,  //复位信号   
    //摄像头接口

    .   cam_pclk        (cam_pclk        ) ,  //cmos 数据像素时钟
    .   cam_vsync       (cam_vsync       ) ,  //cmos 场同步信号
    .   cam_href        (cam_href        ) ,  //cmos 行同步信号
    .   cam_data        (cam_data        ) ,                     
    //用户接口
    .   cmos_frame_vsync(cmos_frame_vsync) ,  //帧有效信号   
    .   cmos_frame_href (cmos_frame_href ) ,  //行有效信号
    .   cmos_frame_valid(cmos_frame_valid) ,  //数据有效使能信号
    .   cmos_frame_data (cmos_frame_data )    //有效数据        
    );


always #10 cam_pclk =~cam_pclk;
initial begin
    rst_n = 0;
    #1000 rst_n =1;
    cam_vsync = 1;
    cam_href = 0;
    #1000 cam_vsync = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0;
    #1000  cam_href = 1;
    #2000  cam_href = 0; cam_vsync = 1;   
end

always @(posedge cam_pclk)begin
    if(!rst_n)cam_data <= 0;
    else if(rst_n == 1&& cam_href == 1)cam_data <= cam_data +1;
    else cam_data <= cam_data ;
end
endmodule


e68afdff6085f1d0877a975e1bf04cb.png
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-1-27 13:57:49 | 显示全部楼层
你看下程序里是不是写了,等10帧之后才输出数据
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 18:17

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表