OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5220|回复: 2

[其他] verilog行为仿真时钟激励显示总是z

[复制链接]

2

主题

2

帖子

0

精华

新手入门

积分
10
金钱
10
注册时间
2020-11-5
在线时间
1 小时
发表于 2020-11-21 11:15:04 | 显示全部楼层 |阅读模式
`timescale 1ns/1ps
module tb_top();
reg s_clk;
reg s_rst;
reg key_first;
reg  [8:0] time1;
wire [3:0] led;
initial
    begin
            s_clk=0;
            s_rst=0;
            key_first=0;
            time1=0;
            #100
            s_rst=1;
    end
always  #10   s_clk=~s_clk;
//timer1
always #20
        if (time1==200) begin
                time1<=0;
               
        end
        else  begin
                time1<=time1+1;
        end
// key_first
always #20
        if ((time1<200)||(time1>70&&time1<100))begin
                key_first<={$random};
        end
        else  if (time1>100)begin
                key_first<=0;
        end
        else begin
                key_first<=1;
        end
top top_inst(
    .s_rst(s_rst),
    .s_clk(s_clk),
    .key_first(key_first),
    .led(led)
        );
endmodule


使用的是vivado
仿真波形如下。我的激励根本没起作用。和不给激励出来的波形一样

仿真.PNG
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

2

主题

132

帖子

0

精华

高级会员

Rank: 4

积分
648
金钱
648
注册时间
2020-4-21
在线时间
83 小时
发表于 2020-11-22 09:19:35 | 显示全部楼层
从TB上看是没看出什么问题
回复 支持 反对

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-11-22 11:01:02 | 显示全部楼层
你的仿真图是TB模块的吗?可以先看TB模块的对不对
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 16:34

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表