OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5014|回复: 0

[XILINX] 【正点原子FPGA连载】第二十四章 基于OV5640的二值化实验--摘自【正点原子】领航者ZYNQ之嵌入式开发指南_V1.2

[复制链接]

1107

主题

1118

帖子

2

精华

超级版主

Rank: 8Rank: 8

积分
4615
金钱
4615
注册时间
2019-5-8
在线时间
1218 小时
发表于 2020-9-9 16:00:21 | 显示全部楼层 |阅读模式
本帖最后由 正点原子01 于 2020-9-11 11:00 编辑

1)实验平台:正点原子领航者ZYNQ开发板
2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-301505-1-1.html
4)对正点原子FPGA感兴趣的同学可以加群讨论:905624739 点击加入群聊
5)关注正点原子公众号,获取最新资料更新
1.jpg
1120.png
第二十四章 基于OV5640的二值化实验

在数字图像处理中,二值图像占有非常重要的地位,图像的二值化使图像中数据量大为减少,还能凸显出目标的轮廓。图像二值化在计算机视觉、图像分割以及人工智能等方面有着广泛应用。在本章节我们将进行基于OV5640的二值化实验。
本章包括以下几个部分:
1.1        简介
1.2        实验任务
1.3        硬件设计
1.4        程序设计
1.5        下载验证
简介
图像二值化( ImageBinarization)就是将图像上的像素点的灰度值设置为最大(白色)或最小(黑色),也就是将整个图像呈现出明显的黑白效果的过程。这里我们以8bit表示的灰度图像为例(灰度值的范围为0~255),二值化就是通过选取适当的阈值,与图像中的256个亮度等级进行比较。亮度高于阈值的像素点设置为白色(255),低于阈值的像素点设置为黑色(0),从而明显地反映出图像的整体和局部特征。
在数字图像处理中,二值图像占有非常重要的地位,特别是在实时的图像处理中,通过二值图像处理实现而构成的系统是很多的。要进行二值图像的处理与分析,首先要把灰度图像二值化,得到二值化图像,这样有利于在对图像做进一步处理时,图像的集合性质只与像素值为0或255的点的位置有关,不再涉及像素的多级值,使处理变得简单,而且数据的处理和压缩量小。为了得到理想的二值图像,一般采用封闭、连通的边界定义不交叠的区域。所有灰度大于或等于阈值的像素被判定为属于特定物体,其灰度值为255表示,否则这些像素点被排除在物体区域以外,灰度值为0,表示背景或者例外的物体区域。
实现二值化有两种方法,一种是手动指定一个阈值,通过阈值来进行二值化处理;另一种是一个自适应阈值二值化方法(OTSU算法和Kittle算法等)。使用第一种方法计算量小速度快,但在处理不同图像时颜色分布差别很大;使用第二种方法适用性强,能直接观测处图像的轮廓,但相对计算更复杂。本章节实验我们将使用第一种方法来实现图像的二值化。
如果某特定物体在内部有均匀一致的灰度值,并且其处在一个具有其他等级灰度值的均匀背景下,使用制定阈值的方法,可以得到比较有效的分割效果。如果物体同背景的差别表现不在灰度值上(比如纹理不同),可以将这个差别特征转换为灰度的差别,然后利用阈值选取技术来分割该图像。
实验任务
用OV5640摄像头采集RGB565数据,将数据转化成Ycbcr格式,然后进行灰度二值化,并通过LCD屏显示。
硬件设计
本次实验我们是基于“OV5640摄像头LCD显示实验”进行图像处理的,实验的系统框图与“OV5640摄像头LCD显示实验”基本相同,我们只是添加了“VIP(video image process)”模块,实验系统框图如下:
image002.jpg

图 0.1 系统框图
图中“RGB转Ycbcr”模块与“OV5640摄像头LCD显示实验”相同,其作用就是为了将RGB565数据转化成Ycbcr的数据,便于提取灰度。“二值化”模块用于实现灰度图像的二值化。
下图是VIP(video image process)在“Block Design”中的链接图:
image004.jpg
图 0.2 基于OV5640的二值化实验原理图
VIP(video image process)模块调用了“rgb2ycbcr”和“binarization”两个模块,下图是VIP内部,“rgb2ycbcr”和“binarization”模块的连接图:
image006.jpg
图 0.3 VIP模块内部连接图
VIP模块顶层代码如下:
  1. module Video_Image_Processor(

  2.      input         clk,    //cmos 像素时钟

  3.      input         rst_n,

  4.    

  5.      //预处理图像

  6.      input         pre_image_vsync, //预处理图像场同步信号

  7.      input         pre_image_clken, //预处理图像时钟使能信号

  8.      input         pre_data_valid,  //预处理图像数据有效信号

  9.      input [23:0]  pre_image_data,  //预处理图像数据

  10.         

  11.      //处理后图像

  12.      output        pos_image_vsync, //处理后图像场同步信号?

  13.      output        pos_image_clken, //处理后图像时钟使能信号

  14.      output        pos_data_valid, //处理后图像数据有效信号

  15.      output [23:0] pos_image_data  //处理后图像数据



  16. );



  17. //wire define

  18. wire [7:0] gray_data ;

  19. wire       ycbcb_vsync;

  20. wire       ycbcbr_clken;

  21. wire       ycbcr_valid;



  22. //*****************************************************

  23. //**                    main code

  24. //*****************************************************

  25. //rgb转ycbcr模块

  26. rgb2ycbcr  u_rgb2ycbcr(

  27.   .clk          (clk),

  28.   .rst_n        (rst_n),

  29.                

  30.   .rgb_vsync    (pre_image_vsync),

  31.   .rgb_clken    (pre_image_clken),

  32.   .rgb_valid    (pre_data_valid),

  33.   .rgb_data     (pre_image_data),

  34.                

  35.                

  36.   .ycbcb_vsync  (ycbcb_vsync),

  37.   .ycbcbr_clken (ycbcbr_clken),

  38.   .ycbcr_valid  (ycbcr_valid),

  39.   .gray_data    (gray_data)

  40. );



  41. //二值化模块

  42. binarization u_binarization(

  43.   .clk               (clk),

  44.   .rst_n             (rst_n),

  45.                     

  46.                     

  47.   .gray_vsync        (ycbcb_vsync),

  48.   .gray_clken        (ycbcbr_clken),

  49.   .gray_data_valid   (ycbcr_valid),

  50.   .luminance         (gray_data),

  51.                   

  52.                   

  53.   .binary_vsync      (pos_image_vsync),

  54.   .binary_clken      (pos_image_clken),

  55.   .binary_data_valid (pos_data_valid),

  56.   .binary_data       (pos_image_data)

  57. );



  58. endmodule
复制代码
有关“rgb2ycbcr”模块,大家可以参考OV5640摄像头灰度图显示实验,在此我们就不再介绍。
“binarization”模块的代码如下:
  1. module binarization(

  2.      //module clock

  3.      input               clk              ,// 时钟信号

  4.      input               rst_n            ,// 复位信号(低有效)



  5.      //图像处理前的数据接口

  6.      input               gray_vsync       ,// vsync信号

  7.      input               gray_clken       ,// 时钟使能信号信号

  8.      input               gray_data_valid  ,// 数据有效信号

  9.      input   [7:0]       luminance        ,         



  10.      //图像处理后的数据接口

  11.      output              binary_vsync     ,// vsync信号

  12.      output              binary_clken     ,// 时钟使能信号

  13.      output              binary_data_valid,// 数据有效信号

  14.      output  [23:0]      binary_data       //

  15. );



  16. parameter THRESHOLD = 8'd80;   //二值化的阈值



  17. //reg define

  18. reg    gray_vsync_d;

  19. reg    gray_clken_d;

  20. reg    gray_data_valid_d;

  21. reg    monoc;                      //monochrome(1=白,0=黑)



  22. //*****************************************************

  23. //**                    main code

  24. //*****************************************************



  25. assign  binary_vsync = gray_vsync_d;

  26. assign  binary_clken = gray_clken_d;

  27. assign  binary_data_valid = gray_data_valid_d;

  28. assign  binary_data = {24{monoc}};



  29. //二值化

  30. always @(posedge clk or negedge rst_n) begin

  31.      if(!rst_n)

  32.          monoc <= 1'b0;

  33.      else if(luminance > THRESHOLD)  //比较图像灰度值与阈值的大小

  34.          monoc <= 1'b1;

  35.      else

  36.          monoc <= 1'b0;

  37. end



  38. //延时1拍以同步时钟信号

  39. always@(posedge clk or negedge rst_n) begin

  40.      if(!rst_n) begin

  41.          gray_vsync_d <= 1'd0;

  42.          gray_clken_d <= 1'd0;

  43.          gray_data_valid_d <= 1'd0;

  44.      end

  45.      else begin

  46.          gray_vsync_d <= gray_vsync;

  47.          gray_clken_d <= gray_clken;

  48.          gray_data_valid_d <= gray_data_valid;

  49.      end

  50. end



  51. endmodule
复制代码
在代码的第19行,我们定义了一个parameter类型的参数THRESHOLD,它是二值化过程中所设定的阈值。我们将灰度值与该阈值比较,用变量monoc寄存比较的结果。若灰度值大于该阈值则monoc为1,若小于阈值,则monoc为0,如代码第36到44行所示。理论上阈值可以是0到255中的任意值,但阈值过大,会提取多余的部分;而阈值过小,又会丢失所需的部分,因此阈值选取是影响实验的一个重要因素。
代码第46到58行,我们通过寄存操作对gray_vsync、gray_clken等信号作了一个时钟周期的延迟。这是因为在进行二值判定时消耗了一个时钟,因此相应的同步信号也要延迟一个时钟周期,以实现与数据的同步。
需要注意的是,代码中变量monoc的0和1表示的灰度与阈值比较的结果,而并不是像素的灰度值,我们需要根据monoc的值来给指定像素点的颜色。如代码第34行所示,binary_data 为24’h0表示黑色,为24’hff_ffff表示白色。
  1. assign  binary_data = {24{monoc}};
复制代码
连线后的Block Design 如下图所示:
image008.jpg
图 0.4 Block Design整体示意图
接下来验证当前设计。验证完成后弹出对话框提示没有错误或者关键警告,点击“OK”。如果验证结果
报出错误或者警告,则需要重新检查设计。
为工程添加的约束文件与“OV5640摄像头 LCD 显示”完全相同,有关这一部分内容请读者参考“OV5640摄像头 LCD 显示”实验。
最后在左侧 Flow Navigator 导航栏中找到 PROGRAM AND DEBUG,点击该选项中的“ Generate
Bitstream”,对设计进行综合、实现、并生成 Bitstream文件。在生成 Bitstream之后,在菜单栏中选择 File> Export > Export hardware 导出硬件, 并在弹出的对话框
中,勾选“Includebitstream”。然后在菜单栏选择 File> Launch SDK, 启动 SDK软件。
软件设计
本实验软件设计部分与“OV5640摄像头LCD显示”实验相同,在此处就不做赘述,有需要的朋友可以参考“OV5640摄像头LCD显示”实验软件设计部分。
下载验证
编译完工程之后我们就可以开始下载程序了。将 OV5640 摄像头模块插在启明星Zynq 开发板的“OLED/CAMERA”插座上,并将LCD 的排线接头插入开发板上的LCD 接线座。将下载器一端连电脑,
另一端与开发板上的 JTAG端口连接,连接电源线并打开电源开关。
在 SDK 软件下方的SDK Terminal 窗口中点击右上角的加号设置并连接串口。然后下载本次实验硬件
设计过程中所生成的 BIT文件,来对 PL 进行配置。最后下载软件程序,下载完成后,在下方的 SDKTerminal中可以看到应用程序打印的信息,如下图所示:
image010.jpg
图 0.1 串口打印信息窗口
同时, RGB LCD 液晶屏上显示出 OV5640 摄像头采集的图像的灰度图,说明本次OV5640 摄像头RGB LCD 屏显示的实验在启明星ZYQN 开发板上验证成功,如下图所示:
image012.jpg
图 0.2 LCD屏显示结果



正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 13:25

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表