OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4474|回复: 0

[XILINX] 【正点原子FPGA连载】第十一章 基于OV5640的自适应二值化实验--摘自【正点原子】领航者ZYNQ之HLS开发指南V1.1

[复制链接]

1107

主题

1118

帖子

2

精华

超级版主

Rank: 8Rank: 8

积分
4615
金钱
4615
注册时间
2019-5-8
在线时间
1218 小时
发表于 2020-8-30 15:55:12 | 显示全部楼层 |阅读模式
本帖最后由 正点原子01 于 2020-8-30 15:55 编辑

1)实验平台:正点原子领航者ZYNQ开发板
2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-301505-1-1.html
4)对正点原子FPGA感兴趣的同学可以加群讨论:905624739 点击加入群聊
5)关注正点原子公众号,获取最新资料更新
1.jpg
1120.png
                                       第十一章 基于OV5640的自适应二值化实验

在数字图像分析与处理中,一幅图片通常含有人们感兴趣和不感兴趣的两部分。为了提取或突出人们感兴趣的目标,常用的方法就是对图像进行二值化分割。二值化的方法有很多,其中自适应二值化(OTSU)是图像二值化最常用的一种算法。本章我们将在HLS中实现图像的自适应二值化。
本章包括以下几个部分:1         
1.1        简介
1.2        实验任务
1.3        HLS设计
1.4        IP验证
1.5        下载验证

1.1 简介
图像二值化(ImageBinarization)就是将图像上的像素点的灰度值设置为最大(白色)或最小(黑色),也就是将整个图像呈现出明显的黑白效果的过程。这里我们以8bit表示的灰度图像为例(灰度值的范围为0~255),二值化就是通过选取适当的阈值,与图像中的256个灰度等级进行比较。亮度高于阈值的像素点设置为白色(255),低于阈值的像素点设置为黑色(0),从而明显地反映出图像的整体和局部特征。
我们把图像进行二值化是为了将感兴趣目标和背景分离,在数字识别和指纹识别等场景中,二值化应用非常广泛。
image002.jpg

图 12.1.1 指纹识别
在指纹识别中,由于我们只关注图像的指纹信息,所以我们需要将背景信息全部清除掉,如上图所示,图像经过二值化处理后只保留了关键的指纹信息。      
二值化在数字图像处理中占有非常重要的地位,特别是在实时的图像处理中,通过二值图像处理实现而构成的系统是很多的。要进行二值图像的处理与分析,首先要把灰度图像二值化,得到二值化图像,这样有利于在对图像做进一步处理时,图像的集合性质只与像素值为0或255的点的位置有关,不再涉及像素的多级值,使处理变得简单,而且数据的处理和压缩量小。为了得到理想的二值图像,一般采用封闭、连通的边界定义不交叠的区域。所有灰度大于或等于阈值的像素被判定为属于特定物体,其灰度值为255表示,否则这些像素点被排除在物体区域以外,灰度值为0,表示背景或者例外的物体区域。
   实现二值化有两种方法,一种是手动指定一个阈值,通过阈值来进行二值化处理;另一种是一个自适应阈值二值化方法(OTSU算法等)。使用第一种方法计算量小速度快,但是在处理不同图像时颜色分布差别很大;使用第二种方法适应性强,能直接观测处图像的轮廓,但相对计算更复杂。当图像的直方图分布没有明显的低峰和高峰时,直接指定阈值可能会导致图像中某些像素的关键信息丢失,所以我们在本章采用第二种方法来实现图像的二值化。
   OTSU算法计算出来的阈值可以使图像的前景目标区域的像素的平均灰度值、背景区域像素的平均灰度值与整幅图像像素的平均灰度值之间的差别最大,这种差异使用方差来表示的,故名最大类间方差法,该方法由日本学者大津于1978年提出,故又名大津法。
   OTSU算法的原理非常简单,该算法假定一幅图像根据双模直方图(前景图像像素和背景图像像素)被分为两类像素,它要计算能将两类分开的最佳阈值,使得它们的类间方差最大,也就是前景图像和背景图像间像素的离散程度最大。OTSU算法类间方差的定义如下:
image004.jpg

图 12.1.2 类间方差公式
如图所示,其中w0表示前景图像像素出现的概率,u0表示前景图像像素的平均灰度,w1表示背景图像像素出现的概率,u1表示背景图像像素的平均灰度,u表示整幅图像像素的平均灰度。
为了实现找到使类间方差最大的阈值这个目标。我们需要遍历0~255个灰度等级,这每一个灰度等级都将图像分为两类像素(前景图像像素和背景图像像素)。然后在每一个灰度等级下算出前景图像像素的平均值(u0)和出现概率(w0)以及背景图像像素的平均值(u1)和出现概率(u),同时我们还要计算出整幅图像的平均灰度(u)。最后代入上面的公式计算出类间方差σ2。我们就是要找到一个灰度值得这个类间方差最大,然后将这个灰度值作为图像二值化的阈值。
   下面给出一幅灰度图像以及它做了指定阈值和OTSU自适应二值化之后的图像来加深我们对OTSU自适应二值化的理解:
image006.jpg

图 12.1.3 图像自适应二值化
如图所示,左边的这幅图像是灰度图,中间的这副图像是我们手动指定阈值操作的二值化图像,右边的这副图像是灰度图做了自适应二值化算法处理后的图像,可以发现图像经过自适应二值化计算出来的阈值比手动指定阈值二值化的效果更好。
1.2 实验任务
本节的实验任务是使用VivadoHLS设计OTSU自适应二值化的IP核,并在Vivado中对设计出来的IP核进行验证。
1.3 HLS设计
我们在电脑中的“F:\ZYNQ\High_Level_Synthesis”目录下新建一个名为otsu_threshold的文件夹,作为本次实验的工程目录。然后打开VivadoHLS工具,创建一个新的工程。设置工程名为“otsu_threshold”,选择工程路径为刚刚创建的文件夹。需要注意的是,工程名以及路径只能由英文字母、数字和下划线组成,不能包含中文、空格以及其他特殊字符。如下图所示:
image008.jpg

图 12.3.1 工程配置界面
设置好工程名及路径之后,点击“Next”,进入如下界面设置顶层函数:
image010.jpg

图 12.3.2 设置顶层函数
工程创建完成后,在工程面板中的“source”目录上点击右键,然后在打开的列表中选择“New File”新建源文件,在弹出的对话框中输入源文件的名称“otsu_threshold.cpp”,如图1.3.3所示。源文件默认的保存路径为HLS工程目录,为方便源文件的管理,我们在工程目录下新建一个名为“src”的文件下,将源文件保存在src目录下。
image012.jpg

图 12.3.3 输入源文件名
我们在这里使用C++语言来设计,那么后缀名需要设置为“.cpp”。设置好文件名和路径之后,点击“保存”。
“otsu_threshold.cpp”文件源代码如下:
  1. #include"otsu_threshold.h"

  2. voidov5640_otsu_threshold(AXI_STREAM &INPUT_STREAM,
  3.                             AXI_STREAM & OUTPUT_STREAM,
  4.                             introws,
  5.                             intcols
  6. ){

  7. #pragmaHLS INTERFACE axis port=INPUT_STREAM
  8. #pragma HLS INTERFACE axis port=OUTPUT_STREAM
  9. #pragma HLS INTERFACE s_axilite port=rows
  10. #pragma HLS INTERFACE s_axilite port=cols
  11. #pragma HLS INTERFACE ap_ctrl_none port=return
  12. #pragma HLS dataflow

  13.      //hls::mat 格式变量
  14.     RGB_IMAGE img_0(rows,cols);
  15.     GRAY_IMAGE img_1(rows,cols);
  16.     GRAY_IMAGE img_2(rows,cols);
  17.     RGB_IMAGE img_3(rows,cols);

  18.      //将 AXI4 Stream 数据转换成 hls::mat 格式
  19.      hls::AXIvideo2Mat(INPUT_STREAM,img_0);

  20.      //将 RGB888 格式的彩色数据转换成灰度数据
  21.      hls::CvtColor<HLS_RGB2GRAY,HLS_8UC3,HLS_8UC1>(img_0,img_1);

  22.      //对灰度图像进行OTSU自适应二值化
  23.      hls::Otsu_threshold<HLS_8UC1,HLS_8UC1,MAX_HEIGHT,MAX_WIDTH>(img_1,img_2);

  24.      //将灰度数据转换成三个通道的灰度图像
  25.      hls::CvtColor<HLS_GRAY2RGB,HLS_8UC1,HLS_8UC3>(img_2,img_3);

  26.      //将 hls::mat 格式数据转换成 AXI4 Stream 格式
  27.      hls::Mat2AXIvideo(img_3,OUTPUT_STREAM);
  28. }
复制代码
代码的主体部分与《基于OV5640的直方图均衡实验》非常类似,只是在代码的第29行我们使用的是hls::Otsu_threshold这个函数来实现自适应二值化算法。这个函数在Vivado HLS视频库中没有相关定义,需要我们自己实现。
“otsu_threshold.h”代码如下:
  1. #ifndef OTSU_THRESHOLD_H
  2. #define OTSU_THRESHOLD_H

  3. #include "hls_math.h"
  4. #include "hls_video.h"

  5. namespace hls {

  6. template<intSRC_T, int DST_T,int ROW, int COL>
  7. void Threshold(
  8.      Mat<ROW, COL, SRC_T>    &_src,
  9.      Mat<ROW, COL, DST_T>    &_dst,
  10.      ap_uint<8> &threshold)
  11. {
  12.      constint NUM_STATES=4;
  13.      Window<1,NUM_STATES,ap_uint<8> > addr_win;

  14.      ap_uint<BitWidth<ROW*COL>::Value> hist_out[256];
  15.      Window<1,NUM_STATES,ap_uint<BitWidth<ROW*COL>::Value> > hist_win;

  16.      ap_uint<BitWidth<ROW*COL>::Value> hist;
  17.      ap_uint<8> addr;
  18.      ap_uint<8> addr_last;
  19.      ap_uint<BitWidth<ROW*COL>::Value> hist_last;
  20.      ap_uint<8> addr_flag;
  21.      ap_uint<BitWidth<ROW*COL>::Value> hist_flag;
  22.      ap_uint<8> addr_w;
  23.      ap_uint<BitWidth<ROW*COL>::Value> hist_w;

  24.      ap_uint<BitWidth<ROW*COL>::Value> tmp=0;

  25.      floatpixel_probability[256];
  26.      for(int i=0;i<NUM_STATES;i++) {
  27.      #pragma HLS UNROLL
  28.          addr_win(0,i)=i;
  29.          hist_win(0,i)=0;
  30.      }

  31.      for(int i=0;i<256;i++) {
  32.          hist_out[i]=0;
  33.          pixel_probability[i] = 0.0f;
  34.      }

  35.      intcols=_src.cols;
  36.      introws=_src.rows;
  37.      assert(rows<=ROW);
  38.      assert(cols<=COL);
  39.   loop_height: for(int i=0;i<rows;i++)
  40.      {
  41.      loop_width: for(int j=0;j<cols;j++)
  42.          {
  43. #pragma HLS PIPELINE
  44. #pragma HLS LOOP_FLATTEN OFF
  45. #pragma HLS DEPENDENCE array inter false
  46.              ap_uint<4> flag=NUM_STATES;
  47.              HLS_TNAME(SRC_T) tempsrc=0;
  48.              HLS_TNAME(DST_T) tempdst=0;
  49.              _src.data_stream[0].read(tempsrc);
  50.              tempdst=tempsrc > threshold ? 255 : 0;
  51.              _dst.data_stream[0]<<tempdst;

  52.              for (int m=0; m<NUM_STATES; m++) {
  53.                  if (tempsrc==addr_win(0,m)) {
  54.                      flag = m;
  55.                      break;
  56.                  }
  57.              }

  58.              latency_region:{
  59.              #pragma HLS latency min=0 max=1
  60.              addr_last = addr_win(0,NUM_STATES-1);
  61.              hist_last = hist_win(0,NUM_STATES-1)+1;
  62.              for (int m=NUM_STATES-1; m>0; m--) {
  63.                  addr = addr_win(0,m-1);
  64.                  hist = hist_win(0,m-1);
  65.                  if (m==NUM_STATES/2) {
  66.                      addr_w = addr;
  67.                      if (m==flag+1) {
  68.                          hist_w = hist+1;
  69.                      } else {
  70.                          hist_w = hist;
  71.                      }
  72.                  }
  73.                  if (m==flag+1) {
  74.                      addr_flag = addr;
  75.                      hist_flag = hist+1;
  76.                      addr_win(0,m) = addr_flag;
  77.                      hist_win(0,m) = hist_flag;
  78.                  } else {
  79.                      addr_win(0,m) = addr;
  80.                      hist_win(0,m) = hist;
  81.                  }
  82.              }
  83.              if (flag==NUM_STATES) {
  84.                  hist_win(0,0) = hist_out[tempsrc]+1;
  85.                  addr_win(0,0) = tempsrc;
  86.              } else if (flag==NUM_STATES-1) {
  87.                  addr_win(0,0) = addr_last;
  88.                  hist_win(0,0) = hist_last;
  89.              } else if (flag>=NUM_STATES/2) {
  90.                  addr_win(0,0) = addr_flag;
  91.                  hist_win(0,0) = hist_flag;
  92.              } else {
  93.                  addr_win(0,0) = addr_w;
  94.                  hist_win(0,0) = hist_w;
  95.              }
  96.              hist_out[addr_w] = hist_w;
  97.              }
  98.          }
  99.      }

  100.      for (int m=0; m<NUM_STATES/2; m++) {
  101.      #pragma HLS PIPELINE
  102.          hist_out[addr_win(0,m)]=hist_win(0,m);
  103.      }

  104.      int         front_pixel_count;          //前景图像像素个数
  105.      int         back_pixel_count;           //背景图像像素个数
  106.      float       front_pixel_probability;    //前景图像像素出现的概率
  107.      float       back_pixel_probability;     //背景图像像素出现的概率
  108.      int         front_gray_count;           //前景灰度总和
  109.      int         back_gray_count;            //背景灰度总和
  110.      int         total_gray;                 //整幅图像灰度总和
  111.      float       front_gray_average;         //前景平均灰度
  112.      float       back_gray_average;          //背景平均灰度
  113.      float       total_gray_average;         //整幅图像的平均灰度
  114.      int         threshold_tmp;              //临时阈值
  115.      float       interclass_variance_tmp;    //临时类间方差
  116.      float       interclass_variance_max;    //最大类间方差
  117.      for(threshold_tmp = 0; threshold_tmp < 256; threshold_tmp++){

  118.          front_pixel_count = 0;
  119.          back_pixel_count = 0;
  120.          front_pixel_probability = 0;
  121.          back_pixel_probability = 0;
  122.          front_gray_count = 0;
  123.          back_gray_count = 0;
  124.          front_gray_average = 0;
  125.          back_gray_average = 0;
  126.          total_gray_average = 0;

  127.          for(int j = 0; j < 256; j++){
  128.              //前景部分
  129.              if(j <= threshold_tmp){
  130.                  //以threshold_tmp为阈值分类,计算前景图像像素出现的个数和灰度总和
  131.                  front_pixel_count += hist_out[j];
  132.                  front_gray_count += j * hist_out[j];
  133.              }
  134.              //背景部分
  135.              else{
  136.                  //以threshold_tmp为阈值分类,计算背景图像像素出现的个数和灰度总和
  137.                  back_pixel_count += hist_out[j];
  138.                  back_gray_count += j * hist_out[j];
  139.              }
  140.          }

  141.          //前景图像像素出现的概率
  142.          front_pixel_probability = (float)front_pixel_count / (rows*cols);
  143.          //背景图像像素出现的概率
  144.          back_pixel_probability = (float)back_pixel_count / (rows*cols);
  145.          //整幅图像灰度总和
  146.          total_gray = front_gray_count + back_gray_count;
  147.          //前景平均灰度
  148.          front_gray_average = (float)front_gray_count / front_pixel_count;
  149.          //背景平均灰度
  150.          back_gray_average = (float)back_gray_count / back_pixel_count;
  151.          //整幅图像平均灰度
  152.          total_gray_average = (float)total_gray / (rows*cols);

  153.          //计算类间方差
  154.          interclass_variance_tmp = front_pixel_probability *
  155.                                    (front_gray_average - total_gray_average) *
  156.                                    (front_gray_average - total_gray_average)
  157.                                  + back_pixel_probability *
  158.                                    (back_gray_average - total_gray_average) *
  159.                                    (back_gray_average - total_gray_average);
  160.          //找出最大类间方差以及对应的阈值
  161.          if (interclass_variance_tmp> interclass_variance_max){
  162.              interclass_variance_max = interclass_variance_tmp;
  163.              threshold = threshold_tmp;
  164.          }
  165.      }
  166. }

  167. //otsu自适应二值化函数
  168. static ap_uint<8> threshold;
  169. template<int SRC_T, int DST_T,int ROW, int COL>
  170. void Otsu_threshold(
  171.          Mat<ROW, COL, SRC_T>    &_src,
  172.          Mat<ROW, COL, DST_T>    &_dst)
  173.      {
  174.      #pragma HLS INLINE
  175.          Threshold(_src, _dst, threshold);
  176.      }
  177. }

  178. #define MAX_HEIGHT 800 //图像最大高度
  179. #define MAX_WIDTH 1024 //图像最大宽度
  180. typedef hls::stream<ap_axiu<24,1,1,1> > AXI_STREAM;
  181. typedef hls::Mat<MAX_HEIGHT,MAX_WIDTH,HLS_8UC3> RGB_IMAGE;
  182. typedef hls::Mat<MAX_HEIGHT,MAX_WIDTH,HLS_8UC1> GRAY_IMAGE;

  183. void ov5640_otsu_threshold(AXI_STREAM & INPUT_STREAM,
  184.                             AXI_STREAM & OUTPUT_STREAM,
  185.                             int rows,
  186.                             int cols
  187. );

  188. #endif
复制代码
实现OTSU算法,需要先统计图像各个像素值出现的个数,也就是统计图像的直方图。统计直方图这部分代码我们参考Vivado HLS视频库中直方图均衡化中的部分源码。下面简单讲解一下这个源码的实现思路。
我们想要获取一幅图像的直方图,就需要定义一个数组,这个数组可以保存每个像素值出现的个数。其中数组的地址代表的是图像像素的灰度等级0~255,数组里存储的就是每一个灰度等级出现的个数,在代码的第18行就定义了“hist_out”这样的一个数组。我们在获取到输入图像像素的灰度值后,把这个灰度值作为数组的地址,从数组中取出数据,并将得到的数据加1后再写回数组对应的地址。这样一帧图像输入完成后,数组中就存储了整幅图像的直方图信息,即实现了直方图的统计。
在获取一帧图像的直方图之后,需要根据图像的直方图来计算类间方差。在计算类间方差的时候,我们需要缓存后续输入的图像数据,为计算上一帧图像的OTSU阈值留出时间。代码的第16行“addr_win”缓存了图像像素的灰度值,代码的第19行“hist_win”缓存了图像像素出现的个数,这里NUM_STATUS定义成4表示我们缓存的大小是4。
代码的第58行是读取输入像素的灰度值并存储到“tempsrc”中,代码的第59行是通过三目运算符,判断输入图像像素值与阈值之间的大小,如果输入图像像素值比阈值大,则将输出图像像素设置为255,否则将输出图像像素设置为0,这样就实现了图像的二值化操作。
在代码的第130行到第180行就是通过OTSU算法来计算图像的阈值。我们首先遍历灰度级0~255,针对每一个灰度,把它作为阈值。如代码的第130行所示:“threshold_tmp”这个变量就是我们设置的这个阈值。然后以这个阈值分类,分别计算前景图像像素和背景图像像素出现的个数和灰度总和。这里计算灰度总和的方式就是拿每个像素的灰度级乘以它出现的个数然后做一个累加。如代码第144到第155行所示:“j”代表的是前景或背景图像像素的灰度值,“hist_out”数组里存储的是每个灰度级出现的个数。接下来我们就需要根据简介里所介绍的类间方差公式,来分别计算公式中各个符号的值。如下图所示:
image014.png

图 12.3.4 类间方差公式
其中“w0”代表的是前景图像像素出现的概率,对应于代码的第158行“front_pixel_probability”这个变量。我们在这里是拿前景图像像素出现的个数除以一帧像素的个数来计算前景图像像素出现的概率;“u0”代表的是前景图像像素的平均灰度,对应于代码的第164行“front_gray_average”这个变量。我们在这里是通过前景图像像素的灰度总和除以前景图像像素出现的个数来计算前景图像像素的平均灰度。“u”代表的是整幅图像的平均灰度,对应于代码的第168行“total_gray_average”这个变量。我们在这里是通过整幅图像像素的灰度总和除以一帧像素的个数来得到的。在代码的第178行到第180行就是找出最大的类间方差,并且将最大类间方差所对应的像素值赋值给“threshold”这个变量,作为我们二值化的阈值。
我们程序用到了一些优化指令,下面对这些优化指令做一些介绍:
在代码的第34行“pragma HLS UNROLL”是HLS优化指令,表示我们展开循环创建多个独立的操作,这将会导致我们可以在单个时钟周期里并行执行for循环中的操作,而基于处理器的架构导致它执行这些操作步骤都是串行执行的。在FPGA内部数据可以并行处理,这体现了用FPGA并行加速的优势。如下图所示:
image016.jpg

图 12.3.5 循环展开
左边的这个“Rolled Loop”是滚动循环,它表示每次迭代都在单独的时钟周期内执行,这个实现需要四个时钟周期,只需要用FPGA的一个乘法器就可以实现;中间的这个“Partially UnrolledLoop”是部分展开循环,在这个例子中,这个实现需要两个时钟周期,需要用FPGA的两个乘法器来实现;右边的这个“Unroolled Loop”是展开循环,在这个例子中,循环被完全展开,我们可以在单个时钟周期内执行所有循环操作。然而这个实现需要四个乘法器,更重要的是,这个实现需要能够在相同的时钟周期内执行4次读取和4次写入操作,由于在FPGA的块RAM最多只有两个端口,因此我们在实现这个的时候需要对阵列进行分区。需要注意的是,循环展开后,如果循环的一次迭代中的操作需要前一次迭代的结果,那么它们不能并行执行,而是在数据可用时立即执行。
在代码的第52行“pragma HLS PIPELINE”是为了提高吞吐率而进行的优化。“PIPELINE”指的是流水线操作,流水线操作允许操作同时发生,任务可以不必在开始下一个操作之前完成所有操作。流水线可以应用于函数和循环。函数的吞吐率改进如下图所示:
image018.jpg

图 12.3.6 函数流水线操作
如图所示,如果没有流水线操作,这个函数每3个时钟周期读取一个输入,并且每2个时钟周期输出一个值。这个函数的启动间隔(initiation interval)为3,延迟(latency)为2。通过流水线操作,每个周期(initiation interval = 1)读取一个新的输入,而不会改变输出延迟或使用的资源。
循环流水线操作允许循环中的操作以并发方式实现,如图所示:
image020.jpg

图 12.3.7 循环流水线操作
左边的这个图是默认的顺序操作,其中每个输入读取(initiation interval = 3)之间有3个时钟周期,并且在执行最后一次输出写入之前需要8个时钟周期。右边的这个图是循环流水线之后的结果,经过流水线处理后可以每个周期(initiationinterval = 1)读取一个新的输入样本,并且仅在4个时钟周期后写入最终输出。在这里我们使用这个指令来提高系统的吞吐率。
在代码的第53行“pragma HLS LOOP_FLATTEN OFF”是为了防止这个嵌套循环在FPGA实现中被展平为单个循环层次结构。在Vivado HLS中默认情况下不会将嵌套循环展开,但可以定义优化的程度,如果优化等级定义过高,在综合的时候还是有可能将嵌套的循环结构展平为单个循环层次结构,添加这个指令,就是为了防止Vivado HLS做这种优化。
程序创建完成后,点击工具栏中向右的绿色三角形对C++代码进行综合,综合完成后在工具栏中点击黄色的“田”字按钮,导出RTL,如下图所示:
image022.jpg

图 12.3.4 导出RTL
在弹出的对话框中保持默认设置,直接点击“OK”,如下图所示:
image024.png

图 12.3. 将设计导出成IP
设计导出完成后,HLS设计部分就结束了,我们在HLS工程目录下可以找到导出的IP核,如下图红色方框所示:
image026.jpg

图 12.3.18导出得到的IP
HLS设计结束之后,我们将在Vivado中对导出的IP核进行验证。
1.4 IP验证
在IP验证环节,我们会使用Vivado工具的IP集成器将生成的IP核添加到Block Design中,然后完成设计后将程序下载到领航者开发板上进行验证。
用于IP验证的底层硬件可以在《领航者ZYNQ之HLS开发指南》第5章“OV5640 摄像头灰度显示”实验的基础上进行。打开该实验所对应的Vivado工程“ov5640_rgb2gray_ip_test”,将其另存为“otsu_threshold_ip_test”工程。为了方便工程管理,我们将Vivado工程的目录与HLS工程目录保持一致,如下图所示:
image028.jpg

图 12.4.1 创建Vivado工程
在通过“另存为”的方式保存工程之后,还要将原来工程中的IP库(名为ip_repo的文件夹)复制到新的Vivado工程目录下, 然后将HLS设计过程中导出的IP核拷贝到“ip_repo”目录下并解压。
在Vivado中重新将当前工程目录下的ip_repo文件夹添加到工程的IP库中,然后将HLS生成的IP核text_overlay添加到Block Design中,并将其STREAM接口分别连接到Video In to AXI4-Stream模块的video_out接口与VDMA模块的S_AXIS_S2MM接口上。最后点击上图中左上角的“Run Connection Automation”,让工具自动连接该IP核的其他端口,包括时钟、复位以及AXI-Lite从接口,[url=]最终[/url][A1] 完成的设计如下图所示:
然后点击“Run Connnection Automation”,下面列出了会自动连接的模块及其接口,勾选“All Automation”, 然后点击“OK”按钮。[url=]最终[/url][A2] 完成的设计如下图所示:
image030.jpg

图 12.4.2 完成后的BlockDesign
到这里我们的BlockDesign就设计完成了,在Diagram窗口空白处右击,然后选择“Validate Design”验证设计。验证完成后弹出对话框提示“ValidationSuccessful”表明设计无误,点击“OK”确认。最后按快捷键“Ctrl + S”保存设计。
接下来在Source窗口中右键点击Block Design设计文件“system.bd”,然后依次执行“Generate Output Products”和“Create HDLWrapper”。
最后在左侧FlowNavigator导航栏中找到PROGRAM AND DEBUG,点击该选项中的“Generate Bitstream”,对设计进行综合、实现、并生成Bitstream文件。
在生成 Bitstream 之后,在菜单栏中选择 File > Export > Export hardware 导出硬件,并在弹出的对话框 中,勾选“Include bitstream”。然后在菜单栏选择 File >Launch SDK,启动 SDK 软件。
在Vivado SDK中新建空的应用工程,工程名为“ov5640_otsu_threshold”。
然后找到《领航者ZYNQ之嵌入式开发指南》第二十三章“OV5640 摄像头 LCD 显示”实验的Vivado工程目录,将“21_ov7725_lcd\ov7725_lcd.sdk\ov7725_lcd”目录下的src文件夹拷贝到新建的应用工程目录下。
在SDK中刷新src目录,然后将“main.c”的代码修改为如下所示:
  1. #include <stdio.h>
  2. #include <stdlib.h>
  3. #include <string.h>
  4. #include "xil_types.h"
  5. #include "xil_cache.h"
  6. #include "xparameters.h"
  7. #include "xgpio.h"
  8. #include "xaxivdma.h"
  9. #include "xaxivdma_i.h"
  10. #include "display_ctrl/display_ctrl.h"
  11. #include "vdma_api/vdma_api.h"
  12. #include "emio_sccb_cfg/emio_sccb_cfg.h"
  13. #include "ov5640/ov5640_init.h"
  14. #include "xov5640_otsu_threshold.h"

  15. //宏定义
  16. #define BYTES_PIXEL        3                           //像素字节数,RGB888占3个字节
  17. #define FRAME_BUFFER_NUM   3                           //帧缓存个数3
  18. #define DYNCLK_BASEADDR    XPAR_AXI_DYNCLK_0_BASEADDR  //动态时钟基地址
  19. #define VDMA_ID            XPAR_AXIVDMA_0_DEVICE_ID    //VDMA器件ID
  20. #define DISP_VTC_ID        XPAR_VTC_0_DEVICE_ID        //VTC器件ID
  21. //PL端 AXI GPIO 0(lcd_id)器件 ID
  22. #define AXI_GPIO_0_ID      XPAR_AXI_GPIO_0_DEVICE_ID   
  23. //使用AXI GPIO(lcd_id)通道1
  24. #define AXI_GPIO_0_CHANEL  1                           

  25. //全局变量
  26. //framebuffer的起始地址
  27. unsigned int const frame_buffer_addr = (XPAR_PS7_DDR_0_S_AXI_BASEADDR
  28.                                          + 0x1000000);
  29. XAxiVdma                 vdma;
  30. DisplayCtrl              dispCtrl;
  31. XGpio                    axi_gpio_inst;           //PL端 AXI GPIO 驱动实例
  32. XOv5640_otsu_threshold   otsu_threshold_inst;     //PL端otsu_threshold驱动实例
  33. VideoMode                vd_mode;
  34. unsigned int lcd_id;

  35. int main(void)
  36. {
  37.      u32 status;
  38.      u16 cmos_h_pixel;   //ov5640 DVP 输出水平像素点数
  39.      u16 cmos_v_pixel;   //ov5640 DVP 输出垂直像素点数
  40.      u16 total_h_pixel;  //ov5640 水平总像素大小
  41.      u16 total_v_pixel;  //ov5640 垂直总像素大小

  42.      //获取LCD的ID
  43.      XGpio_Initialize(&axi_gpio_inst, AXI_GPIO_0_ID);
  44.      lcd_id = LTDC_PanelID_Read(&axi_gpio_inst,AXI_GPIO_0_CHANEL);
  45.      xil_printf("lcd_id= %x\n\r",lcd_id);

  46.      //根据获取的LCD的ID号来进行ov5640显示分辨率参数的选择
  47.      switch(lcd_id){
  48.          case 0x4342 :  //4.3寸屏,480*272分辨率
  49.              cmos_h_pixel = 480;
  50.              cmos_v_pixel = 272;
  51.              total_h_pixel = 1800;
  52.              total_v_pixel = 1000;
  53.              break;
  54.          case 0x4384 :  //4.3寸屏,800*480分辨率
  55.              cmos_h_pixel = 800;
  56.              cmos_v_pixel = 480;
  57.              total_h_pixel = 1800;
  58.              total_v_pixel = 1000;
  59.              break;
  60.          case 0x7084 :  //7寸屏,800*480分辨率
  61.              cmos_h_pixel = 800;
  62.              cmos_v_pixel = 480;
  63.              total_h_pixel = 1800;
  64.              total_v_pixel = 1000;
  65.              break;
  66.          case 0x7016 :  //7寸屏,1024*600分辨率
  67.              cmos_h_pixel = 1024;
  68.              cmos_v_pixel = 600;
  69.              total_h_pixel = 2200;
  70.              total_v_pixel = 1000;
  71.              break;
  72.          case 0x1018 :  //10.1寸屏,1280*800分辨率
  73.              cmos_h_pixel = 1280;
  74.              cmos_v_pixel = 800;
  75.              total_h_pixel = 2570;
  76.              total_v_pixel = 980;
  77.              break;
  78.          default :
  79.              cmos_h_pixel = 480;
  80.              cmos_v_pixel = 272;
  81.              total_h_pixel = 1800;
  82.              total_v_pixel = 1000;
  83.              break;
  84.      }

  85.      emio_init();                         //初始化EMIO
  86.      status = ov5640_init( cmos_h_pixel,  //初始化ov5640
  87.                            cmos_v_pixel,
  88.                           total_h_pixel,
  89.                           total_v_pixel);
  90.      if(status == 0)
  91.          xil_printf("OV5640detected successful!\r\n");
  92.      else
  93.          xil_printf("OV5640detected failed!\r\n");

  94.      //根据获取的LCD的ID号来进行video参数的选择
  95.      switch(lcd_id){
  96.          case 0x4342 : vd_mode =VMODE_480x272;  break;  //4.3寸屏,480*272分辨率
  97.          case 0x4384 : vd_mode =VMODE_800x480;  break;  //4.3寸屏,800*480分辨率
  98.          case 0x7084 : vd_mode =VMODE_800x480;  break;  //7寸屏,800*480分辨率
  99.          case 0x7016 : vd_mode =VMODE_1024x600; break;  //7寸屏,1024*600分辨率
  100.          case 0x1018 : vd_mode =VMODE_1280x800; break;  //10.1寸屏,1280*800分辨率
  101.          default : vd_mode = VMODE_800x480; break;
  102.      }

  103.      //初始化自适应二值化IP核otsu_threshold
  104.      XOv5640_otsu_threshold_Initialize(&otsu_threshold_inst, 0);
  105.      //配置自适应二值化IP核otsu_threshold
  106.      XOv5640_otsu_threshold_Set_rows(&otsu_threshold_inst, vd_mode.height);
  107.      //配置自适应二值化IP核otsu_threshold
  108.      XOv5640_otsu_threshold_Set_cols(&otsu_threshold_inst, vd_mode.width);

  109.      //配置VDMA
  110.      run_vdma_frame_buffer(&vdma, VDMA_ID, vd_mode.width, vd_mode.height,
  111.                              frame_buffer_addr,0,0,BOTH);
  112.      //初始化Display controller
  113.      DisplayInitialize(&dispCtrl, DISP_VTC_ID, DYNCLK_BASEADDR);
  114.      //设置VideoMode
  115.      DisplaySetMode(&dispCtrl, &vd_mode);
  116.      DisplayStart(&dispCtrl);

  117.      return 0;
  118. }
复制代码
在代码的第14行引入了“xov5640_otsu_threshold.h”头文件,这个头文件是VivadoHLS工具生成的,里面声明了OTSU自适应二值化IP核的驱动函数。首先在代码的34行定义了OTSU自适应二值化IP核的驱动实例otsu_threshold_inst,该变量会在后面对IP核进行配置时用到。然后在代码的第112行通过“XOv5640_otsu_threshold_Initialize ()”函数来初始化Vivado HLS生成的OTSU自适应二值化IP核;在代码的第116行通过传入“vd_mode.height”形参来设置OTSU自适应二值化IP核的行数,在代码的第114行通过传入“vd_mode.width”形参来设置列数。这些数据类型和函数在“xov5640_otsu_threshold.h”头文件中均有声明。
1.5 下载验证
编译完工程之后我们就可以开始下载程序了。将 OV5640 摄像头模块插在领航者Zynq开发板的“OLED/CAMERA”插座上,并将LCD的排线接头插入开发板上的 LCD 接线座。将下载器一端连电脑,另一端与开发板上的 JTAG 端口连接,连接电源线并打开电源开关。
在SDK软件下方的SDK Terminal窗口中点击右上角的加号设置并连接串口。然后下载本次实验硬件设计过程中所生成的BIT文件,来对PL进行配置。最后下载软件程序,下载完成后在LCD上就可以看到液晶屏上显示了经过OTSU自适应二值化处理后的图像,如下图所示:
image032.jpg

图 12.5.1 OTSU自适应二值化效果图

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 13:22

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表