OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6361|回复: 3

[ALTERA] sdram中pll有一个warning 有没有大佬能帮忙看一下

[复制链接]

4

主题

18

帖子

0

精华

初级会员

Rank: 2

积分
126
金钱
126
注册时间
2019-12-13
在线时间
23 小时
发表于 2020-7-31 10:25:51 | 显示全部楼层 |阅读模式
5金钱
Warning (15064): PLL "ip_pll:ip_pll_inst|altpll:altpll_component|ip_pll_altpll:auto_generated|pll1" output port clk[2] feeds output pin "sdram_clk~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance


最佳答案

查看完整内容[请看2#楼]

可能跟时序约束相关。打开TimeQuest Timing Analyzer界面,点击Constraints→Derive PLL Clocks和Constraints→Derive Clock Uncertainty试试
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-7-31 10:25:52 | 显示全部楼层
可能跟时序约束相关。打开TimeQuest Timing Analyzer界面,点击Constraints→Derive PLL Clocks和Constraints→Derive Clock Uncertainty试试
回复

使用道具 举报

4

主题

18

帖子

0

精华

初级会员

Rank: 2

积分
126
金钱
126
注册时间
2019-12-13
在线时间
23 小时
 楼主| 发表于 2020-8-1 13:07:47 | 显示全部楼层
顶一下
回复

使用道具 举报

4

主题

18

帖子

0

精华

初级会员

Rank: 2

积分
126
金钱
126
注册时间
2019-12-13
在线时间
23 小时
 楼主| 发表于 2020-8-7 19:50:08 | 显示全部楼层
QinQZ 发表于 2020-8-2 09:26
可能跟时序约束相关。打开TimeQuest Timing Analyzer界面,点击Constraints→Derive PLL Clocks和Constrain ...

最近看了看时序约束的有关  确实是这个原因 但是我想知道 derive clock uncertainty这句话具体有什么用呢  想知道一下
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 13:26

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表