新手入门
- 积分
- 12
- 金钱
- 12
- 注册时间
- 2020-2-16
- 在线时间
- 4 小时
|
楼主 |
发表于 2020-4-27 23:56:33
|
显示全部楼层
module abc(
input clk,
input rst_n,
output reg [7:0] aa,
output reg [7:0] bb
);
reg [7:0] a;
reg [7:0] b;
reg [7:0] cc[2:0] /*synthesis noprune*/;
reg [7:0] dd[2:0]/*synthesis noprune*/;
always@(posedge clk or negedge rst_n)begin
if(!rst_n)begin
aa <= 8'd0;
bb <= 8'd0;
a <= 8'd0;
b <= 8'd0;
end
else begin
aa <= a + 1'b1;
bb <= b + 1'b1;
cc[0] <= aa;
dd[1] <= bb;
end
end
endmodule
我目前用的是quartus II 13.1版本,是破解,在使用signaltap IIf仿真过程中,对于reg wire
类型的变量,在仿真软件中不能正常显示,误以为程序编写有误,实际不是,不知道是因为
软件是破解版的有bug还是这是这个软件通病,上述程序,在不加 /*synthesis noprune*/这个语句时,在signaltap II仿真中是看不到数组变量值的,在增加了之后,其他代码都不变,可以正常看见数组内的数据变量值。 |
|