OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 7225|回复: 0

[ALTERA] 想导入.mif文件到ram 但modelsim仿真显示数据没有输入进去

[复制链接]

1

主题

1

帖子

0

精华

新手入门

积分
5
金钱
5
注册时间
2019-11-27
在线时间
1 小时
发表于 2020-2-28 13:49:59 | 显示全部楼层 |阅读模式
1金钱
如标题。本人FPGA初学者,将正弦波转换成一个.mif文件,想输入到FPGA的RAM IP核里,IP核也导入了外置文件名,.mif文件也添加到了modelsim工程文件夹里,复位信号正常,但仿真依旧没有输出。quartues用的是15.0版本,昨天也是这个情况,将IP核导入文件路径修改成了文件名后就可以使用了。今天小小改动了程序(仅关于数据的修改),仿真却没有输出,其中相位值是正常变化的。文字表述不太具象,附上图,希望有大神能指点一二,万分感谢!!

TIM图片20200228135711.png
TIM图片20200228135537.png
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 09:28

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表