OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5495|回复: 3

FPGA 动态数码管这个实验的系统时钟十分频的数是正确的吗?

[复制链接]

36

主题

75

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
414
金钱
414
注册时间
2015-12-16
在线时间
110 小时
发表于 2019-4-2 15:56:20 | 显示全部楼层 |阅读模式
1金钱
//对系统时钟10分频,得到的频率为5MHz的数码管驱动时钟dri_clk
always @(posedge clk or negedge rst_n) begin
   if(!rst_n) begin
       clk_cnt <= 4'd0;
       dri_clk <= 1'b1;
   end
   else if(clk_cnt == CLK_DIVIDE/2 - 1'd1) begin
       clk_cnt <= 4'd0;
       dri_clk <= ~dri_clk;
   end
   else begin
       clk_cnt <= clk_cnt + 1'b1;
       dri_clk <= dri_clk;
   end
end


按理说这里的CLK_DIVIDE等于10啊,计数也才记到5,怎么得出来的10分频呢

最佳答案

查看完整内容[请看2#楼]

高电平5个计数时钟,低电平5个计数时钟,一个周期由高电平与低电平组成,5+5=10
人的一生总得有个目标!
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

17

主题

587

帖子

0

精华

论坛元老

Rank: 8Rank: 8

积分
4461
金钱
4461
注册时间
2013-6-27
在线时间
564 小时
发表于 2019-4-2 15:56:21 | 显示全部楼层
高电平5个计数时钟,低电平5个计数时钟,一个周期由高电平与低电平组成,5+5=10
让我们的思维驾驭在电的速度之上!
回复

使用道具 举报

9

主题

202

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
839
金钱
839
注册时间
2018-7-29
在线时间
128 小时
发表于 2019-4-3 09:14:10 | 显示全部楼层
计数到5的时候只是对时钟取反,而时钟一反一正才是一个时钟周期,也就是取两次反才得到一个时钟周期
回复

使用道具 举报

36

主题

75

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
414
金钱
414
注册时间
2015-12-16
在线时间
110 小时
 楼主| 发表于 2019-4-3 10:51:35 | 显示全部楼层
哦哦,明白了多谢
人的一生总得有个目标!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 05:32

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表