OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5730|回复: 2

IP_RAM的疑问

[复制链接]

12

主题

52

帖子

0

精华

初级会员

Rank: 2

积分
102
金钱
102
注册时间
2018-2-11
在线时间
38 小时
发表于 2018-12-8 10:31:33 | 显示全部楼层 |阅读模式
1金钱
在IP核RAM试验中,原子哥说ram中读出的数据是在延时一个时钟周期后输出数据,我觉得这里不对。 截图20181208101600389.jpg
在上升沿的时候,获取到的ram_addr是还未改变的地址,地址改变是在上升沿之后改变。所以ram中读出的数据是在当前上升沿时输出数据。

验证的话可以在程序中把对ram_addr、ram_wr_data赋值改为CLK下降沿触发。
截图20181208102357249.jpg
仿真波形如下:
截图20181208102633049.jpg 截图20181208102711803.jpg
ram中读出的数据并不是在延时一个时钟周期后输出数据,而是在当前时钟上升沿输出数据。此番理解不知道对不对,希望大家指正、探讨。

最佳答案

查看完整内容[请看2#楼]

图中第一个时钟上升沿读使能信号ram_rd_en=1,同时给出地址ram_addr=0; 图中第二个时钟上升沿ram开始输出数据,ram_rd_data=0,相对于第一个时钟周期延时了一个时钟周期; 图中第三个时钟上升沿才可以采到ram输出的数据0。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1938

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5380
金钱
5380
注册时间
2018-10-21
在线时间
1504 小时
发表于 2018-12-8 10:31:34 | 显示全部楼层
FZ.png

图中第一个时钟上升沿读使能信号ram_rd_en=1,同时给出地址ram_addr=0;
图中第二个时钟上升沿ram开始输出数据,ram_rd_data=0,相对于第一个时钟周期延时了一个时钟周期;
图中第三个时钟上升沿才可以采到ram输出的数据0。
回复

使用道具 举报

12

主题

52

帖子

0

精华

初级会员

Rank: 2

积分
102
金钱
102
注册时间
2018-2-11
在线时间
38 小时
 楼主| 发表于 2018-12-10 09:47:44 | 显示全部楼层
QinQZ 发表于 2018-12-8 10:31
图中第一个时钟上升沿读使能信号ram_rd_en=1,同时给出地址ram_addr=0;
图中第二个时钟上升沿ram开始 ...

要采集到是需要延时一个周期,但是芯片输出数据是没有延时的。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-7-8 00:32

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表