OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 8237|回复: 0

xilinx SIE仿真问题

[复制链接]

17

主题

37

帖子

0

精华

初级会员

Rank: 2

积分
138
金钱
138
注册时间
2012-5-21
在线时间
3 小时
发表于 2013-2-4 10:27:23 | 显示全部楼层 |阅读模式

按照一本书练习vhdl语言,仿真时遇到了问题,ise10.1版本,请教各位前辈,这是什么问题?
错误提示:ERROR:Simulator:29 - at 0 ns : Delay 50000000 fs is not greater than previous waveform element delay 500000000 fs in assignment for target signal load
仿真语句:
ENTITY MY_CNTR_TB IS
END MY_CNTR_TB;
 
ARCHITECTURE behavior OF MY_CNTR_TB IS
 
    -- Component Declaration for the Unit Under Test (UUT)
 
    COMPONENT MY_CNTR
    PORT(
         CLK : IN  std_logic;
         RST : IN  std_logic;
         D_IN : IN  std_logic_vector(7 downto 0);
         Q_OUT : OUT  std_logic_vector(7 downto 0);
         LOAD : IN  std_logic;
         CE : IN  std_logic;
         UPDN : IN  std_logic
        );
    END COMPONENT;
   

   --Inputs
   signal CLK_SIG : std_logic := '0';
   signal RST : std_logic := '1';
   signal D_IN : std_logic_vector(7 downto 0) := X"0F";
   signal LOAD : std_logic := '0';
   signal CE : std_logic := '1';
   signal UPDN : std_logic := '1';

  --Outputs
   signal Q_OUT : std_logic_vector(7 downto 0);
 
BEGIN
 
 -- Instantiate the Unit Under Test (UUT)
   uut: MY_CNTR PORT MAP (
          CLK => CLK_SIG,
          RST => RST,
          D_IN => D_IN,
          Q_OUT => Q_OUT,
          LOAD => LOAD,
          CE => CE,
          UPDN => UPDN
        );
 
 
 CLK_SIG <= not CLK_SIG after 5 ns;
   -- Stimulus process
   stim_proc: process
   begin  
  CE <= '1','0' after 300 ns,'1' after 400 ns;
  RST <= '0' after 15 ns,'1' after 40 ns;
  UPDN <= '0' after 750 ns;
  LOAD <= '1' after 500 ns, '0' after 50 ns;
      wait;
   end process;
END;

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-25 14:36

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表