OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2694|回复: 2

HSE 使用16M晶振时串口打印错误

[复制链接]

27

主题

91

帖子

0

精华

初级会员

Rank: 2

积分
137
金钱
137
注册时间
2014-8-11
在线时间
3 小时
发表于 2017-7-27 10:21:35 | 显示全部楼层 |阅读模式
30金钱
[mw_shl_code=c,true]static void CLK_Config(void)
{
    ErrorStatus status = ERROR;

    CLK_DeInit();

    CLK_SYSCLKConfig(CLK_PRESCALER_CPUDIV1);
    CLK_SYSCLKConfig(CLK_PRESCALER_HSIDIV1);

        /* uart0 run ERROR with HSE:*/
    status = CLK_ClockSwitchConfig(CLK_SWITCHMODE_AUTO, CLK_SOURCE_HSE, DISABLE, CLK_CURRENTCLOCKSTATE_DISABLE);
   
    /* uart1 run OK with HSI:  */
    /* status = CLK_ClockSwitchConfig(CLK_SWITCHMODE_AUTO, CLK_SOURCE_HSI, DISABLE, CLK_CURRENTCLOCKSTATE_DISABLE); */

    CLK_ITConfig(CLK_IT_CSSD, ENABLE);
    enableInterrupts();

    UNUSED(status);
}[/mw_shl_code]

[size=13.3333px]STM8S208MB,  当fmaster 使用 HSI 1分频, fcpu 1分频时, 串口打印正常, 当fmaster 使用 HSE(16M) 1分频, fcpu 1分频时,串口打印错误。这个错在哪里了?


正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165002
金钱
165002
注册时间
2010-12-1
在线时间
2102 小时
发表于 2017-7-29 23:32:32 | 显示全部楼层
回复

使用道具 举报

27

主题

91

帖子

0

精华

初级会员

Rank: 2

积分
137
金钱
137
注册时间
2014-8-11
在线时间
3 小时
 楼主| 发表于 2017-8-18 18:34:38 | 显示全部楼层
对比查看了,是ST的库函数写错了,用寄存器操作就OK了,太坑爹了,谢谢!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-26 08:00

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表