新手上路
- 积分
- 47
- 金钱
- 47
- 注册时间
- 2022-2-11
- 在线时间
- 4 小时
|
1金钱
想问一下vivado的xdc文件中current_design的作用
- ############## NET - IOSTANDARD ######################
- set_property CFGBVS VCCO [current_design]
- set_property CONFIG_VOLTAGE 3.3 [current_design]
- #############SPI Configurate Setting##################
- set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
- set_property CONFIG_MODE SPIx4 [current_design]
- set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
- #############clock and reset Setting##################
- create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
- set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sys_clk]
- set_property PACKAGE_PIN R4 [get_ports sys_clk]
- set_property IOSTANDARD LVCMOS15 [get_ports sys_clk]
- set_property IOSTANDARD LVCMOS15 [get_ports {sys_rst_n}]
- set_property PACKAGE_PIN U7 [get_ports {sys_rst_n}]
- #############led Setting##############################
- set_property IOSTANDARD LVCMOS15 [get_ports {led}]
- set_property PACKAGE_PIN V9 [get_ports {led}]
复制代码 上面是ddr3实验的xdc中的一部分代码,我想问一下这个current_design有何用处?
|
|