新手入门
- 积分
- 16
- 金钱
- 16
- 注册时间
- 2021-6-25
- 在线时间
- 3 小时
|
2金钱
就是fpga开拓者第二个实例 我想用一个ALWAYS实现控制LED
但是左向流水灯和右向流水灯根本不亮 但是交替闪烁却能实现 不知是哪里出了问题
是否有大佬帮忙解答一下
代码如下
module key_led(
input clk,
input rst_n,
input [3:0] key,
output reg [3:0] led);
//reg ding yi
reg [23:0] counter;
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
counter <= 24'd0;
else if(counter < 24'd9999999)
counter <= counter + 1'd1;
else
counter <= 24'd0;
end
always @(posedge clk or negedge rst_n)begin
if (!rst_n)
led <= 4'b0000;
else if(key == 4'b1110) begin
led <= 4'b0001;
if(counter == 24'd9999999)
led <= {led[2:0],led[3]};
else led <= led;
end
else if(key == 4'b1101) begin
led <= 4'b0001;
if(counter == 24'd9999999)
led <= {led[0],led[3:2]};
else led <= led;
end
else if(key == 4'b1011) begin
led <= 4'b0000;
if(counter == 24'd9999999)
led <= ~led;
else led <= led;
end
else if(key == 4'b1111)
led <= 4'b0000;
else if(key == 4'b0111)
led <= 4'b1111;
else
led <= 4'b0000;
end
endmodule
|
|