OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 9074|回复: 6

[ALTERA] fpga和单片机复位原理有哪些区别?

[复制链接]

52

主题

147

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
316
金钱
316
注册时间
2019-4-1
在线时间
118 小时
发表于 2019-10-23 11:04:58 | 显示全部楼层 |阅读模式
5金钱
本帖最后由 jshzp 于 2019-10-23 11:52 编辑

单片机复位原理:当单片机专用的RESET管脚外接信号有效时(一般是低电平),通过单片机内部的硬件机制,自动复位所有寄存器。
而FPGA没有专门的RESET管脚,芯片内部更没有单片机那样的硬件复位机制,所以需要在复位信号有效时,用户自己去写代码复位相关的寄存器。
例如下面为异步复位的代码示意:
  1. always @(posedge sys_clk or negedge sys_rst_n)
  2. begin
  3.         if (!sys_rst_n)
  4.                 begin
  5.                         //在这里把相关寄存器设置成需要的初始值
  6.                 end
  7.         else
  8.                 begin
  9.                         //这里是用户事务逻辑
  10.                 end
  11. end
  12. endmodule
复制代码


我理解的对吗?希望大家指教,感谢!
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

530

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165186
金钱
165186
注册时间
2010-12-1
在线时间
2106 小时
发表于 2019-10-23 11:04:59 | 显示全部楼层
回复

使用道具 举报

1

主题

11

帖子

0

精华

新手上路

积分
38
金钱
38
注册时间
2017-10-23
在线时间
6 小时
发表于 2019-12-9 11:43:05 | 显示全部楼层
我认为不完全正确,不按按键的情况下也能复位。   FPGA上电就会negedge sys_rst_n 复位,如果不写negedge sys_rst_n,上电就没有复位。                       这个可能跟芯片,或者Verilog语言有关,具体原因不明。
我也很想知道,这个复位原因。
回复

使用道具 举报

52

主题

147

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
316
金钱
316
注册时间
2019-4-1
在线时间
118 小时
 楼主| 发表于 2019-12-10 11:08:39 | 显示全部楼层
镜中花 发表于 2019-12-9 11:43
我认为不完全正确,不按按键的情况下也能复位。   FPGA上电就会negedge sys_rst_n 复位,如果不写negedge s ...

感谢回复!但是没看明白你说的意思。。。
回复

使用道具 举报

1

主题

11

帖子

0

精华

新手上路

积分
38
金钱
38
注册时间
2017-10-23
在线时间
6 小时
发表于 2020-4-11 09:51:30 | 显示全部楼层
单片机复位靠的是RESET引脚, 外面接电阻上拉,电容下拉。 上电瞬间,电容把reset引脚拉低,单片机复位。 FPGA的复位,(posedge sys_clk or negedge sys_rst_n)变成时序电路以后叫,异步置位或异步置位,是时序电路末端端的控制脚。
回复

使用道具 举报

1

主题

11

帖子

0

精华

新手上路

积分
38
金钱
38
注册时间
2017-10-23
在线时间
6 小时
发表于 2020-4-11 09:53:31 | 显示全部楼层
D型触发器的置位和复位
182555-1111251H641X3.jpg
回复

使用道具 举报

1

主题

11

帖子

0

精华

新手上路

积分
38
金钱
38
注册时间
2017-10-23
在线时间
6 小时
发表于 2020-4-11 10:03:08 | 显示全部楼层
据我猜测(没见到过资料说明),上电的时候,FPGA会通过异步复位和置位引脚,对每一个的触发器,复位或者置位。按下FPGA的复位按键,也是通过异步复位和置位引脚,初始化状态。         异步复位引脚,控制输出状态0 ,异步置位引脚,控制输出状态1。
初始化,有时候赋值是0,有的赋值FF,赋值零,就用复位引脚,赋值1就用置位引脚(16'HFF这是16个触发器,也就是表示有16个D触发器并行)。   
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 09:24

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表