OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 3381|回复: 4

如何编写stm8s003的蜂鸣器功能?

[复制链接]

10

主题

232

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2265
金钱
2265
注册时间
2012-8-24
在线时间
246 小时
发表于 2017-7-20 15:34:49 | 显示全部楼层 |阅读模式
1金钱
大家好!我想请一下看了stm8s的资料中蜂鸣器部分一些地方看不明白,因此向各位请教:
第一个问题:图1中的通过写BEEP_CSR的 BEEPSEL[1:0] 位来选择1 kHz, 2 kHz 或 4 kHz 的输出频率,那么图2中的BEEPSEL[1:0]:蜂鸣频率选择哪个对应的是1KHZ,哪个对应是2KHZ,哪个对应的是4KHZ.
第二个问题图1中的BEEPDIV的值计算方法,在用寄存器程序如何编写?
第三个问题能提供这方面详细资料和例程(如2KHZ或者其他频率的程序),谢谢

图1

图1

图2

图2

图3

图3

最佳答案

查看完整内容[请看2#楼]

现在已经明白载图中寄存器中值 的用法,谢谢大家关注
单片机技术交流请加127034610
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

10

主题

232

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2265
金钱
2265
注册时间
2012-8-24
在线时间
246 小时
 楼主| 发表于 2017-7-20 15:34:50 | 显示全部楼层
现在已经明白载图中寄存器中值 的用法,谢谢大家关注
单片机技术交流请加127034610
回复

使用道具 举报

10

主题

232

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2265
金钱
2265
注册时间
2012-8-24
在线时间
246 小时
 楼主| 发表于 2017-7-20 15:37:19 | 显示全部楼层
如果没有描述请大家指出,先谢谢了
回复

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165002
金钱
165002
注册时间
2010-12-1
在线时间
2102 小时
发表于 2017-7-21 01:26:15 | 显示全部楼层
这个截图不就说明问题了么?
我是开源电子网www.openedv.com站长,有关站务问题请与我联系。
正点原子STM32开发板购买店铺http://openedv.taobao.com
正点原子官方微信公众平台,点击这里关注“正点原子”
回复

使用道具 举报

10

主题

232

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
2265
金钱
2265
注册时间
2012-8-24
在线时间
246 小时
 楼主| 发表于 2017-7-21 14:13:46 | 显示全部楼层
但是就不明白蜂鸣器的频率校准计算怎么用寄存器编写程序
单片机技术交流请加127034610
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-26 07:31

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表