OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2414|回复: 4

[国产FPGA] 新人求教静态数码管实验的代码问题

[复制链接]

3

主题

4

帖子

0

精华

新手上路

积分
24
金钱
24
注册时间
2023-5-10
在线时间
4 小时
发表于 2023-5-10 18:06:06 | 显示全部楼层 |阅读模式
1金钱
[img]data:image/png;base64,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

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2023-5-11 10:42:44 | 显示全部楼层
回复

使用道具 举报

3

主题

4

帖子

0

精华

新手上路

积分
24
金钱
24
注册时间
2023-5-10
在线时间
4 小时
 楼主| 发表于 2023-5-11 10:46:52 | 显示全部楼层
QinQZ 发表于 2023-5-11 10:42
所以你的问题是?

不好意思这个图片好像没上传成功
就是我的实验结果是数码管1和0来回亮
我的代码如下:
module time_count(
        input clk,
        input rst_n,
       
        output reg [3:0] num
);

reg [24:0] count;

always @( posedge clk or negedge rst_n)begin
    if(!rst_n)
                count <= 25'd0;
        else if(count < 25'd2500_0000-1)
                count <= count+1;
        else
                count <= 25'd0;
end

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
                num <= 4'd0;
        else if(count == 25'd2500_0000-1)begin
            if(num<4'hf)
                        num <= num+1'b1;
                else
                        num <= 4'd0;
        end
end

endmodule



module seg_static_led(
        input clk,
        input rst_n,
        input  num,
       
        output reg [5:0] seg_sel,
        output reg [7:0] seg_led
);

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
                seg_sel <= 6'b111111;
    else
        seg_sel <= 6'b000000;
end

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
                seg_led <= 6'd0;
                seg_sel <= 8'd0;
        end
        else begin
                case(num)
                        4'h0 :    seg_led <= 8'b1100_0000;
            4'h1 :    seg_led <= 8'b1111_1001;
            4'h2 :    seg_led <= 8'b1010_0100;
            4'h3 :    seg_led <= 8'b1011_0000;
            4'h4 :    seg_led <= 8'b1001_1001;
            4'h5 :    seg_led <= 8'b1001_0010;
            4'h6 :    seg_led <= 8'b1000_0010;
            4'h7 :    seg_led <= 8'b1111_1000;
            4'h8 :    seg_led <= 8'b1000_0000;
            4'h9 :    seg_led <= 8'b1001_0000;
            4'ha :    seg_led <= 8'b1000_1000;
            4'hb :    seg_led <= 8'b1000_0011;
            4'hc :    seg_led <= 8'b1100_0110;
            4'hd :    seg_led <= 8'b1010_0001;
            4'he :    seg_led <= 8'b1000_0110;
            4'hf :    seg_led <= 8'b1000_1110;
                endcase
        end
end

endmodule




module seg_static_led_top(
        input sys_clk,
        input sys_rst_n,
       
        output    [5:0]     seg_sel,
    output    [7:0]     seg_led
);

time_count time_count_n(
        .clk         (sys_clk),
        .rst_n       (sys_rst_n),
       
        .num         (num_1)

);
seg_static_led seg_static_led_n(
        .clk         (sys_clk),
        .rst_n       (sys_rst_n),
        .num         (num_1),
       
        .seg_sel     (seg_sel),
        .seg_led     (seg_led)

);
ila_0 your_instance_name (
        .clk(sys_clk), // input wire clk


        .probe0(num) // input wire [3:0] probe0
);


endmodule

求教大佬!非常感谢!
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2023-5-11 20:04:07 | 显示全部楼层
这种一眼看代码不好找问题的话,一般借助于调试工具应该比较好找的,比如仿真或者在线调试,抓取一些关键信号看波形会更容易找到问题
回复

使用道具 举报

0

主题

7

帖子

0

精华

新手上路

积分
29
金钱
29
注册时间
2021-10-6
在线时间
5 小时
发表于 2023-5-13 14:31:38 | 显示全部楼层
module seg_led_static_top (
    input               sys_clk  ,      
    input               sys_rst_n,      

    output    [5:0]     seg_sel  ,      
    output    [7:0]     seg_led         
);

wire     num_flag;

time_count u_time_count(  

    .clk        (sys_clk  ),
    .rst_n      (sys_rst_n),
   
    .num_flag   (num_flag )
);

seg_led_static u_seg_led_static (
    .clk        (sys_clk  ),
    .rst_n      (sys_rst_n),
    .num_flag   (num_flag ),
         
    .seg_sel    (seg_sel  ),
    .seg_led    (seg_led  )
);

endmodule


module time_count(
        input clk,
        input rst_n,
      
        output reg  num_flag
);

reg [24:0] count;

always @( posedge clk or negedge rst_n)begin
    if(!rst_n)
        count <= 25'd0;
       else if(count < 25'd2500_0000-1'b1)
        count <= count+1;
       else
        count <= 25'd0;
end            
   
always @( posedge clk or negedge rst_n)begin
    if(!rst_n)
        num_flag <= 1'b0;
       else if(count == 25'd2500_0000-1'b1)
        num_flag <= 1'b1;
       else
        num_flag <= 1'b0;
end

endmodule

module seg_led_static(
        input clk,
        input rst_n,
        input  num_flag,
      
        output reg [5:0] seg_sel,
        output reg [7:0] seg_led
);

reg [3:0]  num;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
       num<=4'b0000;
    else if(num_flag)
       num <= num+1'b1;
         else
       num<=num;         
end

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
       seg_sel <= 6'b111111;
    else
       seg_sel <= 6'b000000;
end

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)
       seg_led <= 8'd0;
    else begin
         case(num)
            4'h0 :    seg_led <= 8'b1100_0000;
            4'h1 :    seg_led <= 8'b1111_1001;
            4'h2 :    seg_led <= 8'b1010_0100;
            4'h3 :    seg_led <= 8'b1011_0000;
            4'h4 :    seg_led <= 8'b1001_1001;
            4'h5 :    seg_led <= 8'b1001_0010;
            4'h6 :    seg_led <= 8'b1000_0010;
            4'h7 :    seg_led <= 8'b1111_1000;
            4'h8 :    seg_led <= 8'b1000_0000;
            4'h9 :    seg_led <= 8'b1001_0000;
            4'ha :    seg_led <= 8'b1000_1000;
            4'hb :    seg_led <= 8'b1000_0011;
            4'hc :    seg_led <= 8'b1100_0110;
            4'hd :    seg_led <= 8'b1010_0001;
            4'he :    seg_led <= 8'b1000_0110;
            4'hf :    seg_led <= 8'b1000_1110;
                        default :         seg_led <= 8'b1100_0000;
         endcase
     end
end
endmodule

供参考一下哈
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-4 06:47

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表