OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4940|回复: 1

[ALTERA] 请问为何在用FPGA驱动LCD时不能正常显示图片

[复制链接]

1

主题

3

帖子

0

精华

新手入门

积分
17
金钱
17
注册时间
2020-9-8
在线时间
3 小时
发表于 2022-12-5 19:38:21 | 显示全部楼层 |阅读模式


图片用的正点原子的工具转化为MIF文件存在ROM里,仿真显示可以正常读出数据,LCD是正点原子的800X490的
显示为灰条,求大佬们赐教
  1. `timescale 1ns / 1ps
  2. module  lcd_display(
  3. input wire lcd_clk,
  4. input wire rst_n,
  5. input [10:0] pixel_xpos,
  6. input [10:0] pixel_ypos,
  7. input [10:0] h_disp,
  8. input [10:0] v_disp,
  9. output reg [15:0] pixel_data
  10. );

  11. parameter WHITE = 16'hFFFF;
  12. parameter BLACK = 16'h0000;
  13. parameter RED =  16'hF800;
  14. parameter GREEN= 16'h07E0;
  15. parameter BLUE = 16'h001F;

  16. localparam PIC_X_START = 11'd1; //图片起始点横坐标
  17. localparam PIC_Y_START = 11'd1; //图片起始点纵坐标
  18. localparam PIC_WIDTH = 11'd100; //图片宽度
  19. localparam PIC_HEIGHT = 11'd100; //图片高度

  20. reg [10:0] rom_addr ; //ROM 地址
  21. wire [15:0] rom_rd_data;
  22. wire rom_rd_en;
  23. assign rom_rd_en =1'b1;
  24. always @(posedge lcd_clk or negedge rst_n) begin
  25.     if(~rst_n)
  26.         pixel_data <=16'd0;
  27.     else if((pixel_xpos>=PIC_X_START && pixel_xpos <PIC_X_START+PIC_WIDTH)&&
  28.                                 (pixel_ypos>=PIC_Y_START && pixel_ypos <PIC_Y_START+PIC_HEIGHT))
  29.         pixel_data <= rom_rd_data;
  30.     else
  31.         pixel_data <= BLACK ;  
  32. end

  33. always @(posedge lcd_clk or negedge rst_n) begin
  34.     if(~rst_n)
  35.         rom_addr  <=11'd0;
  36.     else if((pixel_xpos>=PIC_X_START && pixel_xpos <PIC_X_START+PIC_WIDTH)&&
  37.                                 (pixel_ypos>=PIC_Y_START && pixel_ypos <PIC_Y_START+PIC_HEIGHT))
  38.              begin
  39.            rom_addr  <=rom_addr+1'b1 ;
  40.                   end
  41.     else if(pixel_ypos >=PIC_Y_START+PIC_HEIGHT)
  42.         rom_addr  <=11'd0;
  43. end
  44. pic pic1(
  45. .address (rom_addr ),
  46. .clock (lcd_clk ),
  47. .rden (rom_rd_en),
  48. .q (rom_rd_data)
  49. );
  50. endmodule
复制代码



微信图片_20221205193429.jpg
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2022-12-6 14:09:42 | 显示全部楼层
你是在例程的基础上,改成自己的图片吗?检查下图片分辨率的大小是不是100x100
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-4 04:17

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表