OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6298|回复: 6

[XILINX] 请问如何自定义AXI-Stream核?

[复制链接]

9

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
87
金钱
87
注册时间
2019-6-20
在线时间
20 小时
发表于 2021-4-6 16:17:10 | 显示全部楼层 |阅读模式
各位大神:
请问如何在zynq中自定义AXI-Stream IP核,如何修改里边的代码实现MM2S和SS2M传输啊?

新手,还望大神指导。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-4-7 10:56:56 | 显示全部楼层
参考ZYNQ嵌入式(SDK)的开发例程,里面有自定义AXI4-Lite和AXI4-Full接口的例程,在自定义的创建向导界面,改成选择AXI4-Stream即可
回复 支持 反对

使用道具 举报

9

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
87
金钱
87
注册时间
2019-6-20
在线时间
20 小时
 楼主| 发表于 2021-4-7 15:57:55 | 显示全部楼层
QinQZ 发表于 2021-4-7 10:56
参考ZYNQ嵌入式(SDK)的开发例程,里面有自定义AXI4-Lite和AXI4-Full接口的例程,在自定义的创建向导界面, ...

谢谢版主,我想把AD模块采集到的数据ad[7:0]通过AXI4_stream存储到ddr中
1、请问在自定义模块中如何将ad[7:0]赋值给AXI4_stream接口啊?
2、在SDK的C代码中中通过什么函数实现数据的读取和写入DDR啊?
回复 支持 反对

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2021-4-7 19:07:46 | 显示全部楼层
baifan 发表于 2021-4-7 15:57
谢谢版主,我想把AD模块采集到的数据ad[7:0]通过AXI4_stream存储到ddr中
1、请问在自定义模块中如何将ad ...

创建完IP后,软件会自动生成好代码,然后根据AXI-Stream的时序来作简单修改就行,要了解下AXI-Stream的时序。
PS读DDR用Xil_In32()函数就行。
回复 支持 反对

使用道具 举报

9

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
87
金钱
87
注册时间
2019-6-20
在线时间
20 小时
 楼主| 发表于 2021-4-8 21:52:56 | 显示全部楼层
QinQZ 发表于 2021-4-7 19:07
创建完IP后,软件会自动生成好代码,然后根据AXI-Stream的时序来作简单修改就行,要了解下AXI-Stream的时 ...

谢谢版主,可以介绍的稍微详细点如何修改吗,万分感谢啊。
新手,正在入门中,谢谢了。
回复 支持 反对

使用道具 举报

9

主题

24

帖子

0

精华

初级会员

Rank: 2

积分
87
金钱
87
注册时间
2019-6-20
在线时间
20 小时
 楼主| 发表于 2021-4-8 21:58:57 | 显示全部楼层
QinQZ 发表于 2021-4-7 19:07
创建完IP后,软件会自动生成好代码,然后根据AXI-Stream的时序来作简单修改就行,要了解下AXI-Stream的时 ...

谢谢,请问如何具体修改呢,是不是直接将assign M_AXIS_TDATA    = ad_data;这样赋值就可以?还请版主帮忙详细解答一下吧,万分感谢啊。
回复 支持 反对

使用道具 举报

1

主题

18

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
267
金钱
267
注册时间
2019-7-29
在线时间
101 小时
发表于 2021-5-4 21:16:42 | 显示全部楼层
baifan 发表于 2021-4-8 21:58
谢谢,请问如何具体修改呢,是不是直接将assign M_AXIS_TDATA    = ad_data;这样赋值就可以?还请版主帮 ...

应该就是这么赋值的
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 18:22

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表