OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4968|回复: 0

js_点击弹出图片(收藏防迷路哦)

[复制链接]

143

主题

145

帖子

0

精华

高级会员

Rank: 4

积分
585
金钱
585
注册时间
2020-5-25
在线时间
42 小时
发表于 2021-1-7 17:31:55 | 显示全部楼层 |阅读模式
hxzz_zzct{    position: fixed;    top: 0;    left: 0;    display: none;    width: 100%;    height: 100%;    background: rgba(0,0,0,.7);    z-index: 999999999999999999;}.hxzz_zzct .zzct{    position: absolute;    top: 50%;    left: 50%;    transform: translate(-50%,-50%);}[url=][/url]

    <div class="hxzz_zzct">        <div class="container">            <div class="zzct"></div>        </div>    </div>
[url=][/url]
$(document).ready(function (){    $('.hxzz_lb .hxzz_fm .hxzz_tp ').click(function (){        $('.hxzz_zzct').slideDown();        $('.zzct').empty().append($(this).html());    });    $('.hxzz_zzct').click(function (){        $(this).slideUp();    })})


正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-9 13:00

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表