OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 7075|回复: 2

[其他] 新手小白请教一个按键控制LED的问题

[复制链接]

0

主题

3

帖子

0

精华

新手入门

积分
18
金钱
18
注册时间
2020-7-8
在线时间
7 小时
发表于 2020-11-18 16:45:58 | 显示全部楼层 |阅读模式
1金钱
本帖最后由 mankaichuang 于 2020-11-19 09:16 编辑

开发板:artix7
实验目的:实现按键按下之后,对应的LED点亮,按键松开之后,对应的led保持不灭。
以下是我的代码:
使用时序逻辑,代码如下:`timescale 1ns / 1ps

module key_led(
    input               sys_clk,
    input               sys_rst_n,
    input       [3:0]   key,

    output  reg [3:0]   led
);

always @(posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
        led <= 4'b0000;
    else
        case(key)
            4'b1110: led <= 4'b0001;
            4'b1101: led <= 4'b0010;
            4'b1011: led <= 4'b0100;
            4'b0111: led <= 4'b1000;
            default: led <= led;
        endcase
end

endmodule

这个代码测试功能正常,可以达到实验目的然后我又用组合逻辑实现了一遍,代码如下:

`timescale 1ns / 1ps

module key_led(
    input               sys_clk,
    input               sys_rst_n,
    input       [3:0]   key,

    output  reg [3:0]   led
);

always @(key or sys_rst_n) begin
    if(!sys_rst_n)
        led = 4'b0000;
    else
        case(key)
            4'b1110: led = 4'b0001;
            4'b1101: led = 4'b0010;
            4'b1011: led = 4'b0100;
            4'b0111: led = 4'b1000;
            default: led = led;
        endcase
end

endmodule



结果运行之后出现问题,现象为不管按哪个按键,对应的led会点亮,但是松开按键之后,始终是led0点亮,请高手兄们给指点下,我知道组合逻辑没有记忆功能,但是为什么松开按键是LED0亮,而不是所有都熄灭



正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

0

主题

3

帖子

0

精华

新手入门

积分
18
金钱
18
注册时间
2020-7-8
在线时间
7 小时
 楼主| 发表于 2020-11-19 11:26:35 | 显示全部楼层
我修改了第二个代码,将组合逻辑中case语句的default:led <= led;,使用非阻塞赋值,功能正常了,松开按键之后,对应的LED保持点亮的状态,但是产生这种现象原因,还是不知道,有懂得大神帮忙解释下
PS:我用ila也抓取了probe的结果,使用阻塞赋值,led的值在松开按键之后始终是0001,但是使用非阻塞赋值,松开按键之后,led的值就是刚刚松开哪个按键对应的led位置1了
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-11-19 13:58:30 | 显示全部楼层
mankaichuang 发表于 2020-11-19 11:26
我修改了第二个代码,将组合逻辑中case语句的default:led

你都说了组合逻辑没有记忆功能,那么default语句就给led赋具体的值,而不是led=led,否则软件会给你综合出锁存器。
要改成default:led=4'b0000;
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 16:39

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表