OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4251|回复: 0

[XILINX] 【正点原子FPGA连载】第八章 基于OV5640的视频图像叠加实验--摘自【正点原子】领航者ZYNQ之HLS开发指南V1.1

[复制链接]

1107

主题

1118

帖子

2

精华

超级版主

Rank: 8Rank: 8

积分
4615
金钱
4615
注册时间
2019-5-8
在线时间
1218 小时
发表于 2020-8-30 11:22:40 | 显示全部楼层 |阅读模式
本帖最后由 正点原子01 于 2020-8-30 12:13 编辑

1)实验平台:正点原子领航者ZYNQ开发板
2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-301505-1-1.html
4)对正点原子FPGA感兴趣的同学可以加群讨论:905624739 点击加入群聊
5)关注正点原子公众号,获取最新资料更新
1.jpg
1120.png

第八章基于OV5640的视频图像叠加实验

     视频图像叠加技术是当前在屏幕显示(onscreendisplay,OSD)技术的一个重要部分。视频图像叠加技术在雷达监测口、汽车智能、医学成像等方面应用越来越广。本章节我们将使用Vivado HLS生成一个图像彩条叠加的IP核,来进行基于OV5640的视频图像叠加实验。
本章包括以下几个部分:        
1.1        简介
1.2        实验任务
1.3        HLS设计
1.4        IP验证
1.5        下载验证

1.1 简介
视频图像叠加是指在原视频图像中叠加另一视频图像信号,使得原视频图像中叠加上字符或图像。其基本原理就是将存放在存储器里的字符或图像转换成满足一定格式要求的信号,同输入的另一组图像或视频信号合并,使被叠加的图像信号与原视频图像信号在指定位置上同步显示。图像叠加过程是以像素为基本单元的。
视频图像叠加采用的算法是Alpha透明混叠算法,其主要公式:
imgL(x,y)= Alpha(x,y) * imgM(x,y)+(1 - Alpha(x,y) * imgN(x,y))
在上述公式中, imgL(x,y)表示叠加后的图像,Alphal(x,y)表示前景图像(被叠加的图像)透明度; imgM(x,y)表示前景图像(被叠加的图像);imgN(x,y)表示背景图像。本章实验中我们将基于上述公式实现视频图像叠加实验。
image002.jpg

8.1.1 前景图、背景图、叠加图
如上图所示:“colorbar”表示前景图像M,“lena”表示背景图像N,”lena_colorbar”表示叠加之后的图像imgL。
图像的透明度是相对的,即一张图的透明程度是与另一张图作为对照的结果。通常我们使用电脑工具看到的图像透明都是以背景为对照的。其原理就是,两张图叠加,M为前景图,N为背景图。此时透明度为Alpha,那么M图RGB分量占比Alpha,N图RGB分量占比(1-Alpha),两者之和即为新图的RGB分量,也就是我们看到的叠加之后的图像,这里前后景是按100%分配,当然你也可以按256分配(数字图像中透明度分为256级)。M、N分别对应公式中的imgM(x,y)和imgN(x,y)。
Alpha取不同值时会产生不同的叠加效果,当Alpha(x,y)取值为1时,图像imgM(x,y)完全不透明,此时只显示图像imgM(x,y);当Alpha(x,y)取值为0时,图像imgM(x,y)完全透明,此时只显示图像imgN(x,y)。下面我们给出公式中Alpha按256分配时的计算公式:
R(L) = (Alpha *R(M) + (255 - Alpha) * R(N) ) >> 8
G(L) =( Alpha *G(M) + (255 - Alpha) * G(N) ) >> 8
B(L) =( Alpha *B(M) + (255 - Alpha) * B(N))  >> 8
上述公式中, R(L)、G(L)、B(L)分别指叠加后的图像L的RGB分量,R(M)、G( M)、B(M)分别指前景图像M的RGB分量,R(N)、G(N)、B(N)分别指背景图像N的RGB分量,易知Alpha值取0表示图像M完全透明,取255则表示图像M完全不透明。
1.2 实验任务
本节的实验任务是使用VivadoHLS设计图像彩条叠加的IP核,并在Vivado中对设计出来的IP核进行验证。
1.3 HLS设计
我们在电脑中的“F:\ZYNQ\High_Level_Synthesis”目录下新建一个名为add_image_colorbar的文件夹,作为本次实验的工程目录。然后打开VivadoHLS工具,创建一个新的工程。设置工程名为“add_image_colorbar”,选择工程路径为刚刚创建的文件夹。需要注意的是,工程名以及路径只能由英文字母、数字和下划线组成,不能包含中文、空格以及其他特殊字符。如下图所示:
image004.jpg

8.3.1 工程配置界面
设置好工程名及路径之后,点击“Next”,进入如下界面设置顶层函数:
image006.jpg

8.3.2 设置顶层函数
工程创建完成后,在工程面板中的“source”目录上点击右键,然后在打开的列表中选择“New File”新建源文件,在弹出的对话框中输入源文件的名称“add_image_colorbar.cpp”,如图1.3.3所示。源文件默认的保存路径为HLS工程目录,为方便源文件的管理,我们在工程目录下新建一个名为“src”的文件下,将源文件保存在src目录下。
image008.jpg

8.3.3 输入源文件名
我们在这里使用VivadoHLS提供的视频库“hls_video.h”,由于这个视频库使用C++语言编写的,那么后缀名需要设置为“.cpp”。设置好文件名和路径之后,点击“保存”。
“lcd_rgb_colorbar.c”文件源代码如下:
  1. #include "add_image_colorbar.h"

  2. void add_image_colorbar(RGB_IMAGE& src, RGB_IMAGE& dst, int rows, int cols, int alpha)
  3. {
  4.      //定义输入和输出图像像素数据
  5.      RGB_PIXEL src_data;
  6.      RGB_PIXEL dst_data;

  7.      int color_edge = cols/7;    //7个彩条叠加

  8.      //获取图像数据
  9.      for(int y_pos = 0; y_pos < rows; y_pos++){
  10.          for(int x_pos = 0; x_pos < cols; x_pos++){
  11.              if((y_pos < rows) && (x_pos < cols)){
  12.                  src >> src_data;
  13.              }

  14.              //获取输入图像像素RGB通道数据
  15.              unsigned char src_B = src_data.val[0];
  16.              unsigned char src_G = src_data.val[1];
  17.              unsigned char src_R = src_data.val[2];

  18.              //定义输出图像像素RGB通道数据
  19.              unsigned char dst_B;
  20.              unsigned char dst_G;
  21.              unsigned char dst_R;

  22.              //彩条数据叠加
  23.              if(x_pos < color_edge){
  24.                  dst_B = ((alpha*0x00) + (255-alpha)*src_B)>>8;    //红色
  25.                  dst_G = ((alpha*0x00) + (255-alpha)*src_G)>>8;
  26.                  dst_R = ((alpha*0xff) + (255-alpha)*src_R)>>8;

  27.              }else if(x_pos >= color_edge && x_pos < color_edge*2){
  28.                  dst_B = ((alpha*0x00) + (255-alpha)*src_B)>>8;    //橙色
  29.                  dst_G = ((alpha*0x7f) + (255-alpha)*src_G)>>8;
  30.                  dst_R = ((alpha*0xff) + (255-alpha)*src_R)>>8;

  31.              }else if(x_pos >= color_edge*2 && x_pos < color_edge*3){
  32.                  dst_B = ((alpha*0x00) + (255-alpha)*src_B)>>8;    //黄色
  33.                  dst_G = ((alpha*0xff) + (255-alpha)*src_G)>>8;
  34.                  dst_R = ((alpha*0xff) + (255-alpha)*src_R)>>8;
  35.              }else if(x_pos >= color_edge*3 && x_pos < color_edge*4){
  36.                  dst_B = ((alpha*0x00) + (255-alpha)*src_B)>>8;    //绿色
  37.                  dst_G = ((alpha*0xff) + (255-alpha)*src_G)>>8;
  38.                  dst_R = ((alpha*0x00) + (255-alpha)*src_R)>>8;
  39.              }else if(x_pos >= color_edge*4 && x_pos < color_edge*5){
  40.                  dst_B = ((alpha*0xff) + (255-alpha)*src_B)>>8;    //青色
  41.                  dst_G = ((alpha*0xff) + (255-alpha)*src_G)>>8;
  42.                  dst_R = ((alpha*0x00) + (255-alpha)*src_R)>>8;
  43.              }else if(x_pos >= color_edge*5 && x_pos < color_edge*6){
  44.                  dst_B = ((alpha*0xff) + (255-alpha)*src_B)>>8;    //蓝色
  45.                  dst_G = ((alpha*0x00) + (255-alpha)*src_G)>>8;
  46.                  dst_R = ((alpha*0x00) + (255-alpha)*src_R)>>8;
  47.              }else if(x_pos >= color_edge*6 && x_pos < cols){
  48.                  dst_B = ((alpha*0xff) + (255-alpha)*src_B)>>8;    //紫色
  49.                  dst_G = ((alpha*0x00) + (255-alpha)*src_G)>>8;
  50.                  dst_R = ((alpha*0x8b) + (255-alpha)*src_R)>>8;
  51.              }

  52.              //输出图像数据
  53.              dst_data.val[0] = dst_B;
  54.              dst_data.val[1] = dst_G;
  55.              dst_data.val[2] = dst_R;
  56.              dst << dst_data;
  57.          }
  58.      }
  59. }

  60. //顶层函数
  61. void img_addweighted_top(AXI_STREAM& input_axi,
  62.                           AXI_STREAM& output_axi,
  63.                           int rows,
  64.                           int cols,
  65.                           int alpha)
  66. {
  67. #pragma HLS INTERFACEap_ctrl_none port=return
  68. #pragma HLS INTERFACEs_axilite port=alpha
  69. #pragma HLS INTERFACEs_axilite port=cols
  70. #pragma HLS INTERFACEs_axilite port=rows
  71. #pragma HLS INTERFACEaxis register both port=output_axi
  72. #pragma HLS INTERFACEaxis register both port=input_axi

  73.      RGB_IMAGE img_0(rows,cols);
  74.      RGB_IMAGE img_1(rows,cols);

  75. #pragma HLS dataflow

  76.      hls::AXIvideo2Mat(input_axi, img_0);
  77.      add_image_colorbar(img_0, img_1, rows, cols, alpha);
  78.      hls::Mat2AXIvideo(img_1, output_axi);
  79. }
复制代码
在代码的第1行引入头文件“add_image.h”,这是我们定义的一个头文件,在这个头文件里对视频库中的一些数据类型进行了重新定义,同时对顶层函数进行了声明。
本章实验的顶层函数是第71行“img_weighted_top”,这个函数实现了图像叠加的功能。它的5个参数”input_axi”、“output_axi”、”rows”、“cols”、”alpha“分别表示输入的axi4-stream流数据、输出的axi4-stream流数据、输出图像像素的行数、输出图像像素的列数、图像前景的透明度。这个函数里的优化指令在我们在前面的章节已经介绍过,如果对这些优化指令不熟悉,请大家参考前面的几个章节。在代码的第89行通过”AXIvideo2Mat”这个函数实现了将输入的AXI4-Stream流数据转化了Vivado HLS可以处理的Mat格式的数据,在代码的第91行通过”Mat2AXIvideo”实现了将Vivado HLS可以处理的Mat格式的数据转化成了AXI-Stream流数据。由于Vivado HLS输入和输出都是AXI4-Stream格式的数据流,所以我们在这里进行了数据格式的转换。在代码的第90行“add_image_colorbar”实现了彩条图像叠加的功能,它的第一个参数”img_0”表示输入图像,第二个参数“img_1”表示输出图像。
在代码的第2行定义了图像叠加函数“add_image_colorbar”,这个函数传入了5个形参,分别是“src”、”dst”、“rows”、”cols”、”alpha”。其中src表示输入图像,“RGB_IMAGE”表示输入图像的类型是8位无符号三通道的。dst表示输出图像。rows表示输入图像的行数,cols表示输入图像的列数。alpha表示叠加在摄像头输出图像上彩条的透明度。
在代码的第6行和第7行分别定义了输入图像和输出图像的像素数据(src_data和dst_data),后面可以对像素数据的RGB三个通道进行赋值,从而实现彩条图像的叠加。在代码的第9行“color_edge = cols/7”表示实现7个彩条数据的叠加。
在代码的第12行到第15行通过判断“x_pos”和“y_pos”的值确定像素显示的位置,当像素显示位置在图像的宽(clos)和图像的高(rows)范围内时,通过”>>“这个重载操作符从输入的视频流src中读出指定位置的像素数据,并将其保存到src_data中。这里我们介绍一下操作符重载的概念,操作符重载就是把已经定义的、有一定功能的操作符进行重新定义,来完成更为细致具体的运算等功能。操作符重载可以将概括性的抽象操作符具体化,便于外部调用而无须知晓其内部的具体运算过程。
C++有许多内置的数据类型,包括int、char、string等,每种类型都有许多运算符,例如+、-、*、/等,可以利用这些运算符方便地对两个数据进行运算,从而得到相应的运算结果。针对这些内置的数据类型,C++提供了丰富的运算符供我们完成常见的操作。但是当我们定义了新的类的对象时,两个对象之间是不能进行这些操作的。但幸运的事,C++允许我们对这些操作符进行重载,告诉编译器该如何进行自定义对象之间的各种运算。重载操作符之后,可以方便地在类对象之间使用操作符进行各种有意义的运算,就像内置类型的运算一样方便。在功能上,重载操作符等同于类的成员函数,两者并无明显差别,可以简单地讲重载操作符是一类比较特殊的成员函数。虽然成员函数可以提供跟操作符相同的功能,但是运用操作符可以让语句更加简洁,也更加容易理解。
在C++中,声明重载操作符的语法格式如下:
class 类名
{
       public:
              返回值类型 operator操作符 (参数列表)
              {
                     操作符的具体运算过程
              }
       };
从这里可以看到,重载操作符和成员函数虽然在功能上是相同的,但是在语法格式上还是存在一些细微的差别。普通成员函数以标识符作为函数名,而重载操作符以“operator“操作符作为函数名。在使用上,当使用操作符对两个对象进行运算时,实际上是调用第一个对象的操作符,而第二个对象则作为这个操作符的参数。
我们在Vivado HLS找到这个重载运算符的定义,如下所示:
void operator>>(Scalar<HLS_MAT_CN(T),HLS_TNAME(T)>&s);   
函数名为“operator>>”,返回值为void,参数为HLS_MAT_CN(T),HLS_TNAME(T),在代码的第15行,”src>>src_data”会被转换为类似的函数调用形式:src.operator>>(src_data),这实质上就是调用对象的成员函数。
在代码的第19行到第21行通过读取Scalar类中val这个成员变量的值来获取像素点RGB三个通道的值,由于像素点RGB三个通道的颜色数据在opencv中是按照BGR内存分布的,所以“src_data.val[0]”表示像素点B通道的值,“src_data.val[1]”表示像素点G通道的值,”src_data.val[2]”表示像素点R通道的值。
在代码的第28到第59行通过比较“x_pos”与”color_edge”的大小来判断像素点的位置,当像素点位于不同的x轴位置时,给输出像素点的三个通道“dst_B”、”dst_G”、“dst_R”叠加上不同颜色的彩条数据。在代码的第62行到第65行通过”<<“这个重载运算符将输出图像像素数据保存到输出图像中。
“add_image_colorbar.h”头文件源代码如下:
  1. #ifndef _ADD_IMAGE_H_
  2. #define _ADD_IMAGE_H_

  3. #include "hls_video.h"
  4. #include <string.h>

  5. //定义图像最大尺寸
  6. #define MAX_WIDTH  1920
  7. #define MAX_HEIGHT 1080

  8. //定义数据类型
  9. typedefhls::stream<ap_axiu<24,1,1,1>>  AXI_STREAM;
  10. typedefhls::Mat<MAX_HEIGHT,MAX_WIDTH, HLS_8UC3>RGB_IMAGE;
  11. typedefhls::Scalar<3,unsignedchar>RGB_PIXEL;

  12. voidimg_addweighted_top(AXI_STREAM&input_axi, AXI_STREAM&output_axi, int rows,intcols,intalpha);

  13. #endif
复制代码
在代码的第1行和第2行是为了避免头文件的重复定义。在代码的第4行引入了“hls_video.h”这个视频库。在代码的第8行和第9行定义图像最大支持的尺寸是1920*1080个像素点。
在代码的第12行到第14行重新定义了HLS中的数据类型,其中第12行和第13行的数据类型在灰度显示实验中已经介绍过,这里我们重点关注第14行的数据类型“hls::Scalar”。这个类模板的第一个参数3表示我们定义图像的通道是3通道类型的,第二个参数unsigned char表示我们定义图像的数据类型是8位无符号数据类型。由于opencv中图像数据在内存中是按照BGR分布的,所以当我们用这个类定义一个对象src时,我们可以通过src.val[0]获取图像蓝色通道的数据,src.val[1]获取图像绿色通道的数据,src.val[2]获取图像红色通道的数据。
代码输入完成后,按快捷键Ctrl+S保存。然后点击工具栏中向右的绿色三角形对C代码进行综合,如下图所示:
image010.jpg

8.3.4 运行C综合
综合完成后,会自动打开综合结果(solution)的报告,如下图所示:
image012.jpg

8.3.5 综合报告
在工具栏中点击黄色的“田”字按钮,导出RTL,如下图所示:
image014.jpg

8.3.6 导出RTL
在弹出的对话框中保持默认设置,直接点击“OK”,如下图所示:
image016.png

8.3.7 将设计导出成IP
设计导出完成后,HLS设计部分就结束了,我们在HLS工程目录下可以找到导出的IP核,如下图红色方框所示:
image018.jpg

8.3.8 导出得到的IP
HLS设计结束之后,我们将在Vivado中对导出的IP核进行验证。
1.4 IP验证
在IP验证环节,我们会使用Vivado工具的IP集成器将生成的IP核添加到Block Design中,然后完成设计后将程序下载到领航者开发板上进行验证。
用于IP验证的底层硬件可以在《领航者ZYNQ之HLS开发指南》第5章“OV5640 摄像头灰度显示”实验的基础上进行。打开该实验所对应的Vivado工程“ov5640_rgb2gray_ip_test”,将其另存为“add_image_colorbar_ip_test”工程。为了方便工程管理,我们将Vivado工程的目录与HLS工程目录保持一致,如下图所示:
image020.jpg

8.4.1 创建Vivado工程
在通过“另存为”的方式保存工程之后,还要将原来工程中的IP库(名为ip_repo的文件夹)复制到新的Vivado工程目录下, 然后将HLS设计过程中导出的IP核拷贝到“ip_repo”目录下并解压。
在Vivado中重新将当前工程目录下的ip_repo文件夹添加到工程的IP库中,然后将HLS生成的IP核Ov5640_sobel添加到Block Design中,并将其STREAM接口分别连接到Video In to AXI4-Stream模块的video_out接口与VDMA模块的S_AXIS_S2MM接口上。最后点击上图中左上角的“Run Connection Automation”,让工具自动连接该IP核的其他端口,包括时钟、复位以及AXI-Lite从接口,[url=]最终[/url][A1] 完成的设计如下图所示:
然后点击“RunConnnection Automation”,下面列出了会自动连接的模块及其接口,勾选“AllAutomation”, 然后点击“OK”按钮。[url=]最终[/url][A2] 完成的设计如下图所示:
image022.jpg

8.4.2 完成后的BlockDesign
到这里我们的BlockDesign就设计完成了,在Diagram窗口空白处右击,然后选择“Validate Design”验证设计。验证完成后弹出对话框提示“ValidationSuccessful”表明设计无误,点击“OK”确认。最后按快捷键“Ctrl + S”保存设计。
接下来在Source窗口中右键点击Block Design设计文件“system.bd”,然后依次执行“Generate Output Products”和“Create HDLWrapper”。
最后在左侧FlowNavigator导航栏中找到PROGRAM AND DEBUG,点击该选项中的“Generate Bitstream”,对设计进行综合、实现、并生成Bitstream文件。
在生成 Bitstream 之后,在菜单栏中选择 File > Export > Export hardware 导出硬件,并在弹出的对话框 中,勾选“Include bitstream”。然后在菜单栏选择 File >Launch SDK,启动 SDK 软件。
在Vivado SDK中新建空的应用工程,工程名为“ov5640_addweight_colorbar_lcd”。
然后找到《领航者ZYNQ之嵌入式开发指南》第二十三章“OV5640 摄像头 LCD 显示”实验的Vivado工程目录,将“21_ov7725_lcd\ov7725_lcd.sdk\ov7725_lcd”目录下的src文件夹拷贝到新建的应用工程目录下。
在SDK中刷新src目录,然后将“main.c”的代码修改为如下所示:
  1. #include <stdio.h>
  2. #include <stdlib.h>
  3. #include <string.h>
  4. #include "xil_types.h"
  5. #include "xil_cache.h"
  6. #include "xparameters.h"
  7. #include "xgpio.h"
  8. #include "xaxivdma.h"
  9. #include "xaxivdma_i.h"
  10. #include "display_ctrl/display_ctrl.h"
  11. #include "vdma_api/vdma_api.h"
  12. #include "emio_sccb_cfg/emio_sccb_cfg.h"
  13. #include "ov5640/ov5640_init.h"
  14. #include "ximg_addweighted_top.h"

  15. //宏定义
  16. #define BYTES_PIXEL        3                           //像素字节数,RGB888占3个字节
  17. #define FRAME_BUFFER_NUM   3                           //帧缓存个数3
  18. #define DYNCLK_BASEADDR    XPAR_AXI_DYNCLK_0_BASEADDR  //动态时钟基地址
  19. #define VDMA_ID            XPAR_AXIVDMA_0_DEVICE_ID    //VDMA器件ID
  20. #define DISP_VTC_ID        XPAR_VTC_0_DEVICE_ID        //VTC器件ID
  21. //PL端  AXI GPIO0(lcd_id)器件 ID
  22. #define AXI_GPIO_0_ID      XPAR_AXI_GPIO_0_DEVICE_ID   
  23. //使用AXI GPIO(lcd_id)通道1
  24. #define AXI_GPIO_0_CHANEL  1                           

  25. //全局变量
  26. //frame buffer的起始地址
  27. unsigned int constframe_buffer_addr = (XPAR_PS7_DDR_0_S_AXI_BASEADDR
  28.                                          +0x1000000);
  29. XAxiVdma                 vdma;
  30. DisplayCtrl              dispCtrl;
  31. XGpio                    axi_gpio_inst;         //PL端 AXI GPIO 驱动实例
  32. XImg_addweighted_top     addweight_inst;       //PL端addweight_inst驱动实例
  33. VideoMode                vd_mode;
  34. unsigned int lcd_id;

  35. int main(void)
  36. {
  37.      u32 status;
  38.      u16 cmos_h_pixel;   //ov5640 DVP 输出水平像素点数
  39.      u16 cmos_v_pixel;   //ov5640 DVP 输出垂直像素点数
  40.      u16 total_h_pixel;  //ov5640 水平总像素大小
  41.      u16 total_v_pixel;  //ov5640 垂直总像素大小

  42.      //获取LCD的ID
  43.      XGpio_Initialize(&axi_gpio_inst,AXI_GPIO_0_ID);
  44.      lcd_id =LTDC_PanelID_Read(&axi_gpio_inst,AXI_GPIO_0_CHANEL);
  45.      xil_printf("lcd_id = %x\n\r",lcd_id);

  46.      //根据获取的LCD的ID号来进行ov5640显示分辨率参数的选择
  47.      switch(lcd_id){
  48.          case 0x4342:  //4.3寸屏,480*272分辨率
  49.              cmos_h_pixel =480;
  50.              cmos_v_pixel =272;
  51.              total_h_pixel =1800;
  52.              total_v_pixel =1000;
  53.              break;
  54.          case 0x4384:  //4.3寸屏,800*480分辨率
  55.              cmos_h_pixel =800;
  56.              cmos_v_pixel =480;
  57.              total_h_pixel =1800;
  58.              total_v_pixel =1000;
  59.              break;
  60.          case 0x7084:  //7寸屏,800*480分辨率
  61.              cmos_h_pixel =800;
  62.              cmos_v_pixel =480;
  63.              total_h_pixel =1800;
  64.              total_v_pixel =1000;
  65.              break;
  66.          case 0x7016:  //7寸屏,1024*600分辨率
  67.              cmos_h_pixel =1024;
  68.              cmos_v_pixel =600;
  69.              total_h_pixel =2200;
  70.              total_v_pixel =1000;
  71.              break;
  72.          case 0x1018:  //10.1寸屏,1280*800分辨率
  73.              cmos_h_pixel =1280;
  74.              cmos_v_pixel =800;
  75.              total_h_pixel =2570;
  76.              total_v_pixel =980;
  77.              break;
  78.          default:
  79.              cmos_h_pixel =480;
  80.              cmos_v_pixel =272;
  81.              total_h_pixel =1800;
  82.              total_v_pixel =1000;
  83.              break;
  84.      }

  85.      emio_init();                         //初始化EMIO
  86.      status =ov5640_init( cmos_h_pixel,  //初始化ov5640
  87.                            cmos_v_pixel,
  88.                           total_h_pixel,
  89.                           total_v_pixel);
  90.      if(status ==0)
  91.          xil_printf("OV5640 detectedsuccessful!\r\n");
  92.      else
  93.          xil_printf("OV5640 detectedfailed!\r\n");

  94.      //根据获取的LCD的ID号来进行video参数的选择
  95.      switch(lcd_id){
  96.          case 0x4342:vd_mode =VMODE_480x272;  break;  //4.3寸屏,480*272分辨率
  97.          case 0x4384:vd_mode =VMODE_800x480;  break;  //4.3寸屏,800*480分辨率
  98.          case 0x7084:vd_mode =VMODE_800x480;  break;  //7寸屏,800*480分辨率
  99.          case 0x7016:vd_mode =VMODE_1024x600; break;  //7寸屏,1024*600分辨率
  100.          case 0x1018:vd_mode =VMODE_1280x800; break;  //10.1寸屏,1280*800分辨率
  101.          default:vd_mode =VMODE_800x480; break;
  102.      }

  103.     //初始化灰度转换IP核add_image_color
  104.     XImg_addweighted_top_Initialize(&addweight_inst,XPAR_IMG_ADDWEIGHTED_TOP_0_DEVICE_ID);
  105.     //配置灰度转换IP核add_image_color的行数
  106.     XImg_addweighted_top_Set_rows(&addweight_inst,vd_mode.height);
  107.     //配置灰度转换IP核add_image_color的列数
  108.     XImg_addweighted_top_Set_cols(&addweight_inst,vd_mode.width);
  109.     //配置灰度转换IP核add_image_color的alpha值
  110.     XImg_addweighted_top_Set_alpha(&addweight_inst,138);

  111.      //配置VDMA
  112.      run_vdma_frame_buffer(&vdma,VDMA_ID,vd_mode.width,vd_mode.height,
  113.                              frame_buffer_addr,0,0,BOTH);
  114.      //初始化Display controller
  115.      DisplayInitialize(&dispCtrl,DISP_VTC_ID, DYNCLK_BASEADDR);
  116.      //设置VideoMode
  117.      DisplaySetMode(&dispCtrl,&vd_mode);
  118.      DisplayStart(&dispCtrl);

  119.      return 0;
  120. }
复制代码
在代码的第14行引入了“ximg_addweighted_top.h”头文件,这个头文件是Vivado HLS工具生成的,里面声明了彩条图像叠加IP核的驱动函数。首先在代码的34行定义了彩条图像叠加IP核的驱动实例addweight _inst,该变量会在后面对IP核进行配置时用到。然后在代码的第112行通过“XImg_addweighted_top_Initialize ()”函数来初始化VivadoHLS生成的彩条图像叠加IP核;在代码的第116行通过传入“vd_mode.height”形参来设置彩条图像叠加IP核的行数,在代码的第114行通过传入“vd_mode.width”形参来设置列数。这些数据类型和函数在“xlcd_rgb_color.h”头文件中均有声明。在代码的第118行,XImg_addweighted_top_Set_alpha函数的第二个参数是图像的alpha值,这里设置成了138,该值的取值范围是0~255,设置的值越小,前景彩条图像的透明度越高。
1.5 下载验证
编译完工程之后我们就可以开始下载程序了。将 OV5640 摄像头模块插在领航者 Zynq 开发板的“OLED/CAMERA”插座上,并将 LCD 的排线接头插入开发板上的 LCD 接线座。将下载器一端连电脑,
另一端与开发板上的 JTAG 端口连接,连接电源线并打开电源开关。
在 SDK 软件下方的 SDK Terminal 窗口中点击右上角的加号设置并连接串口。然后下载本次实验硬件设计过程中所生成的 BIT 文件,来对 PL 进行配置。最后下载软件程序,下载完成后在LCD上就可以看到液晶屏上显示了摄像头采集图像和彩条数据图像叠加后的图像,如下图所示:
image024.jpg

8.5.1图像叠加实验效果图






正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 13:21

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表